Sei sulla pagina 1di 2

Riassunto comandi tool Modelsim - Synopsys

TERMINALE S.O.
mkdir = crea una nuova cartella
ls = mostra i files nella cartella corrente
ls a = mostra anche i files nascosti
cd = si muove nelle cartelle
cp = copia nella cartella corrente il/i file/s identificati da un percorso
& = utile alla fine del comando di apertura di un processo ( seguito da spazio)

MODELSIM

Per lavvio ( dal terminale ):
1. setmentor
2. vlib work
3. vlib work (la cartella work dovrebbe essere creata)
4. vsim &

Dentro Modelsim per avviare la simulazione
Compile-> compile = da qui scelgo quali files compilare, devono essere selezionati
gerarchicamente. ( anche ./compile )
Simulate->simulate = da qui entro nel tool per la simulazione. Selezionare da work la
configurazione che si vuole simulare, ovvero un Test Bench, cliccare LOAD. DISABILITARE
OPTIMIZATION OPTION.
Starting simulation
1. add wave *
2. run X ns
Per salvare la simulazione: File->Print postcript. Per aggiustarla Format-> Height
Power
Prima di avviare la simulazione: power add * = esso permette di calcolare il tlog e le
probabilit di tutti i segnali.
Avviare la imulazione ( come sopra)
Digitare: power report oppure power report file filename

SINTETIZZATORE SYNOPSYS

Per lavvio ( dal terminale ):
1. mkdir syn ( crea una nuova cartella, copiarci dentro tutti i file tranne i test bench)
2. copiare il file nascosto .synopsys_dc.setup nella cartella syn!
3. setsynopsys
4. mkdir work
5. design_vision &

Dentro Synopsys per sintetizzare
File->analyze = per aggiungere le entity da analizzare in ordine gerarchico
File-> elaborate = elaboro la top level entity (selezionare WORK e quale architecture
selezionare)
Se necessario ( es FSM) digitare: create clock -name CLK -period 8 {clock} con CLK il
nome del signal che usiamo
o Per verificare il clock: report_clock
Design->Compile Design

Analisi ( report area)
Dedign->Report Area =
report_timing = per fare lanalisi del timing ( anche Timing->report timing paths)
report_power = per lanalisi della potenza
o design-vision-xg-t> create clock -name clk -period X = per settare un clock
o design-vision-xg-t> report_power
o design-vision-xg-t> report power -hier = fa unanalisi piu dettagliata
o design-vision-xg-t> report power -net -verbose = net switching power
FSM: report_fsm per vedere lassegnazione degli stati

Potrebbero piacerti anche