Sei sulla pagina 1di 63

Firmware

GENNAIO 2011
PROGETTARE
SECONDO
NORMATIVE

LED BIANCHI:
PILOTARLI
A CORRENTE
COSTANTE

USB FACILE
CON FUJITSU

System-On-Chip
IAR
EMBEDDED
WORKBENCH

Cosa offre il mercato?


TECNICHE DI
GENERAZIONE
DEI NUMERI
CASUALI
Freescale - microcontrollori
Firmware GENNAIO 2011

“Un chip
EDITORIALE 4 TIPS’n tricks
Ovviamente il titolo è un gioco di Soluzioni
formato A4...” parole: non si tratta di un chip di
21x29,7cm (il formato A4,
appunto), ma A4 è il nome del
cosa offre
8 News

System-on-Chip sviluppato da Apple per l’iPad. Sembra dunque essere il


System-on-Chip la chiave per lo sviluppo dei sistemi embedded. Un ARM9 a
il mercato FOCUS on
Soluzioni SoC:
cosa offre il mercato
1GHz e tutte le periferiche per la gestione della grafica e delle interfacce di
Un System-on-Chip
comunicazione posso convivere in un unico package di qualche centimetro
è un particolare tipo di circuito SKILLs
quadrato favorendo così la miniaturizzazione degli apparati e l’incremento 14 Progettazione automotive
delle prestazioni dal momento che i collegamenti tra la varie periferiche sono integrato che in un singolo
17 Termometro senza sonda
interni al chip e non realizzati mediante piste su circuito stampato. Nella chip ingloba un intero sistema con PIC
sezione focus di questo numero parleremo dei SoC di nuova generazione,
elettronico. Ecco quali sono 22 L’importanza del random
delle loro caratteristiche e dei loro sviluppi futuri. Ma il System-on-Chip si
accinge a fare il suo ingresso anche nei PC, è infatti voce di questi giorni cle soluzioni che il mercato
l’imminente rilascio di Windows 8 su SoC. A proposito di Apple, a partire da offre ai progettisti
questo numero Firmware sarà disponibile anche per iPhone e iPad attraverso
SPOTlight
29 USB embedded facile
l’apposita applicazione NXT disponibile gratuitamente nell’App Store: avrete
così sempre a portata di click tutto l’archivio di Firmware!
INside
32 LCD controllers

Termometro Un metodo per


utilizzare il WDT
su AT91SAM9

TOOLS
senza sonda con (Watch Dog Timer)
interno di un
37 I parametri S

43 IAR Embedded Workbench


microcontrollore PIC
per misurare la ANALOG
temperatura 48 LED bianchi:
pilotaggio a corrente costante
ambiente senza
52 Progettazione
utilizzare nessuna secondo normative
Diventa fan di Firmware
sonda esterna.
su Facebook!
62 EVENTS zapping
INTERFACCIA
Rivisitiamo una tecnologia mazione e del controllo di processo.
certamente non recente, ma ancora Alla corrente di 4 mA viene in questo
in grado di esserci utile caso associato il valore di inizio scala,
alla corrente di 20 mA il valore di fondo
di MARIO ROTIGNI scala. I 4 mA di base sono inoltre di-
uso della trasmissione di dati at- sponibili per l’alimentazione della cir-
L’ traverso un loop di corrente 4-20
mA risale alle telescriventi dell’inizio
cuiteria remota. L’Application Note in
Rif.2, tra le altre, spiega come dimen-
Figura 1: schema di principio di trasmissione dati 4-20mA.

sionare un trasmettitore 4-20 mA. Col- ternative di connessione in impianti e corrente provvede insensibilità alla ca-
degli anni ’60. Una corrente pari a 4
legamenti a tre fili possono essere usa- macchine (field bus). La trasmissione di duta di tensione introdotta dalla resi-
mA circolante in un circuito chiuso era
ti quando sia necessaria una corrente di dati ed alimentazione può essere fatta stenza dei fili di collegamento, resi-
associata ad un valore logico ed una
corrente di 20 mA al suo complemento. alimentazione più elevata o una sor- con una singola coppia di conduttori stenze di contatto, effetti termoelettrici
Velocità fino a 19 kbaud erano assicu- gente di alimentazione in alternata. L’in- intrecciati a passo stretto, rendendo parassiti. Queste prestazioni sono do-
rate su distanze di varie decine di metri. formazione analogica che rappresenta la cosi il collegamento ancora meno sen- vute all’uso di un circuito generatore
La bassa impedenza della connessione lettura del sensore viene recuperata sibile a rumore ed interferenze. La spi- di corrente come sorgente della tra-
e la conseguente elevata immunità ai di- dalla stazione base leggendo la caduta ra formata dai due conduttori, in cui smissione di informazione, associato
sturbi elettrici, unita alla possibilità di di tensione su un resistore shunt in se- potrebbe essere indotto un segnale di alle elevate tensioni di alimentazione
trasportare l’alimentazione per il nodo rie al loop di corrente, vedi lo schema di rumore da interferenze elettromagneti- adottate al fine di rendere trascurabili le
remoto, promossero negli anni succes- principio in figura 1. Valori tipici per il che, risulta di area molto ridotta. L’im- cadute di tensione lungo l’anello. Ten-
sivi una grande diffusione e popolarità resistore shunt vanno da 50 a 250 ohm. munità conseguentemente ottenuta può sione di alimentazione tipica dei loop in
dell’interfaccia 4-20 mA nella trasmis- Alcuni altri vantaggi rendono questa in qualche caso rendere superflue corrente è 24V dc, ma sono utilizzate
sione dati tra sensori e apparecchia- tecnica ancora interessante anche oggi, schermature costose e complesse da anche 12V, 15V, 36V. La circolazione di
ture di controllo nel campo dell’auto- malgrado la diffusione di tecnologie al- realizzarsi sul campo. La trasmissione in una corrente minima garantita rende

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


semplice realizzare un sistema di dia-
gnostica dell’integrità della linea di co-
municazione, rilevando circuiti aperti
come lettura di corrente nulla.
L’emissione nei primi anni ’80 di stan-
dard per i bus di comunicazione basa-
ti su linee differenziali, RS422 e RS485,
erosero il campo di applicazione dei
trasmettitori 4-20mA, pur potendone
trovare ancora oggi larga diffusione
Figura 3: un generatore di aria calda con
nella trasmissione remota di grandezze
interfaccia 4-20mA.
fisiche a calcolatori di supervisione e/o
controllo (temperatura, umidità, pres-
colarmente avvertito, in questi tempi
sione, portata…). Configurazioni che
di sensori intelligenti equipaggiati da
vedono Sensori remoti installati a di-
microcontrollori, è l’unidirezionalità del-
stanze reciproche importanti, consen-
la trasmissione dati nello schema ori-
tono cablaggi meno costosi di un bus
ginale. La proposta dello standard HART
che richiede comunque una coppia sup-
(Highway Addressable Remote Tran-
plementare di fili per l’alimentazione.
sducer) ha introdotto una comunica-
La norma richiamata in Rif. 1 stabili-
zione digitale bidirezionale compatibile
sce le specifiche internazionali cui le
con i loop di corrente 4-20 mA. Un se-
trasmissioni dati 4-20mA dovrebbero
gnale FSK (Frequency Shift Keyed) con
sottostare. Un limite di tale normativa è
ampiezza di picco di 1 mA è sovrap-
la mancanza di uno standard meccani-
posto al loop analogico 4-20 mA. Le
co definito, con conseguente assenza di
Figura 2: sensore di temperatura con trasmissione 4-20mA.  due frequenze usate sono 1200 Hz per
omogeneità nella definizione di con-
rappresentare il livello logico ‘1’ e 2200
nettori e cablaggi. Un altro limite parti-
Hz per rappresentare il livello ‘0’. Un
esempio di interfaccia HART per con-
- Rif.1 ANSI/ISA-50.1-1982 (R1992) Compatibility of Analog Signal for Electronic Industrial Process Instruments. trollo distribuito o PLC si trova in Rif. 3.
I trasmettitori 4-20 mA sono disponi-
Questo document costituisce la specifica per le trasmissioni 4-20mA current loop.
bili anche in forma di circuito integrato,
- Rif.2 MAXIM APPLICATION NOTE 1064 Designing a 4-20mA Current Loop Using the MAX1459 Sensor Signal Conditioner
come si poteva facilmente immaginare,
- Rif.3 Analog Devices AN1065 Configuring the AD5420 for HART Communication Compliance vedi ad esempio Rif. 4. L’integrato
- Rif. 4 Analog Devices Datasheet AD5420 and AD5421 AD5421 ad esempio, realizza in un pic-
colo contenitore TSSOP a montaggio

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Demmel elettroshop ilcd display intelligenti

SPI, corrente di loop fuori dai limiti ope-


rativi, tensione di loop troppo bassa.
La figura 2 presenta un esempio di tra-
smettitore di temperatura realizzato
senza integrati dedicati ma fondato sul
circuito integrato LM10 e componenti
discreti. La sorgente di tensione di ri-
ferimento e l’operazionale indipenden-
te di LM10 sono qui usati per realizzare
un convertitore tensione-corrente che
trasforma il segnale proporzionale alla
temperatura, in uscita dal sensore
LM335, nella corrente 4-20 mA tra-
Figura 4: calibratori di pressione con smessa sul loop. Un altro interessante
funzionalità 4-20mA loop. aspetto dei loop di corrente, confrontati
con i bus differenziali, consiste nel-
superficiale un completo trasmettito-
l’analisi della tolleranza ai guasti. Un
re, con alimentazione attraverso il loop.
cortocircuito sui cavi di connessione
Un regolatore di tensione e tensione di
non e’ tollerato, mentre non sempre un
riferimento sono integrati in modo da nodo di bus differenziale che si guasti
provvedere ad alimentare se stesso ed mantenendo fisso il dato in uscita è in
il resto della circuiteria nel trasmetti- grado di mettere il bus fuori servizio. Le
tore, senza componentistica esterna. linee 4-20 mA sono più robuste, un no-
Una interfaccia a tre fili SPI compatibi- do guasto non influenza le altre linee
le consente una connessione a micro- componenti il sistema e neppure un
controllori fino alla rispettabile velocità cortocircuito risulta intollerabile, se la li-
di 20 Mbps. AD5421 può lavorare con nea è opportunamente progettata.
modem HART senza degrado di pre- Avendo la linea un resistore shunt in
stazioni. La gamma di temperatura ope- serie, un eventuale cortocircuito sul ca-
rativa è molto estesa, -40 – 105 C°. Il vo imporrà una corrente più elevata del
DAC integrato con uscita in corrente normale ma non sarà in grado di corto-
ha 16 bit di risoluzione con errori di li- circuitare l’alimentazione. La corrente di
nearità, offset e guadagno molto bassi. guasto potrebbe inoltre servire da trig-
Una ampia diagnostica è integrata con- ger per la rilevazione del malfunziona-
sentendo di rilevare errori di comuni- mento.
cazione SPI, perdita della connessione Codice MIP 2799674

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


PRODUCT NEWS Da RF a digitale
Ricevitori µModule da RF a digitale riducono le dimensioni, il costo
e il time-to-market dei progetti delle stazioni base

Linear Technology presenta


l’LTM9004 e l’LTM9005, due
ricevitori µModule® da RF a
digitale che integrano i principali componenti richiesti
dai ricevitori di stazioni radio base 3G/4G (WCDMA, TD-
SCDMA, LTE, ecc.) e dalle stazioni radio base WiMAX
con antenne intelligenti. I ricevitori µModule consentono
una significativa riduzione dello spazio occupato
sulla scheda, integrando mixer/demodulatore RF,
amplificatori, filtri passivi e convertitori analogico-digitali
(ADC) a 14 bit da 125 Msps in un package compatto
e conveniente. L’LTM9004 implementa un’architettura a
conversione diretta con un demodulatore I/Q, un filtro
passa-basso e un ADC a due canali. L’LTM9005 si basa
invece su un’architettura di campionatura IF con un I ricevitori completi µModule® semplificano la progettazione
segnale viene demodulato nel dominio digitale. Il filtraggio
mixer downconverter, un filtro SAW e un ADC singolo.
L’elevato livello di integrazione consente di ridurre le del segnale a 20 MHz viene eseguito con un filtro SAW delle Base Station
dimensioni della scheda e supporta sistemi a più canali, (Surface Acoustical Wave) integrato nell’LTM9005. Sono
risolvendo i problemi di separazione/indirizzamento dei disponibili altre larghezze di banda del filtro. I ricevitori µModule LTM®9004 (conversione diretta) e LTM9005 (campionamento-IF) offrono un’integrazione senza precedenti
segnali e riducendo i tempi di progettazione e debug. L’LTM9004 e l’LTM9005 sono forniti in un package LGA per Base Station più piccole con minor consumo di potenza e un time-to-market più rapido. Integrando stadi di guadagno,
Questi ricevitori sono il frutto di anni di esperienza nella da 22 × 15 mm con un substrato a più livelli che protegge filtraggio del segnale RF e ADC ad alta velocità, questi ricevitori riducono il tempo e il lavoro necessari per ottimizzare il design
progettazione di catene di segnale e offrono questa le linee analogiche sensibili dalle tracce digitali per ridurre e il layout e comportano un minor numero di componenti da trovare per realizzare sistemi di comunicazione altamente performanti.
funzionalità in un package µModule da 22 x 15 mm al minimo il feedback digitale. La capacità di bypass Sono in grado di supportare macrocelle ad alta densità e con elevato numero di canali, così come unità RRH (remote radio heads),
semplice da utilizzare. di alimentazione e riferimento è integrata nel package senza compromessi in termini di prestazioni.
I provider di servizi cellulari si stanno impegnando per µModule, accoppiata al die, e assicura una soluzione
cercare di ridurre le spese in conto capitale e quelle compatta, ad alte prestazioni e più conveniente rispetto
ai package tradizionali.
Caratteristiche Scheda demo LTM9004 Info e campioni gratuiti
operative. Il supporto oggi richiede base station più
piccole, più leggere e con meno potenza, come ad • Ricevitori RF-digitali completamente www.linear.com/9004
integrati Tel.: +39-039-596 50 80
esempio le unità RRH (Remote Radio Heads) che
Riepilogo delle caratteristiche: • ADC a bassa potenza a 14 bit e 125Msps Fax: +39-039-596 50 90
possono essere montate sulla torre con l’antenna; base
LTM9004 e LTM9005 • Architettura a conversione diretta
station macrocella ad alta densità, con un elevato numero (LTM9004)
di canali, maggiore efficienza e l’uso di piccoli ripetitori - Range ingresso RF da 800MHz a 2,7GHz
digitali. I ricevitori µModule soddisfano queste tendenze • Ricevitori RF-digitale completamente integrati - Demodulazione I/Q e ADC velocità
per applicazioni con stazioni base veloci duali
in modo diretto. Utilizzando solo il 25% dell’area sulla Brochure gratuita
• ADC a basso consumo a 14 bit e 125 Msps - Alimentazione a 5V e 3V, consumo di soluzioni wireless
scheda discreta, l’LTM9004 e l’LTM9005 consentono di corrente totale 1,8W
risparmiare spazio e riducono anche il tempo e il lavoro • Architettura a conversione diretta (LTM9004) • Architettura di campionamento-IF
necessari per ottimizzare il design e il layout di decine di a. Range ingresso RF da 800 MHz a 2,7 GHz (LTM9005)
- Range ingresso RF da 400MHz a 3,8GHz www.linear.com/wireless
componenti ad alta frequenza. Questo comporta costi b. Demodulazione I/Q e ADC a doppia velocità
di sviluppo inferiori, un minor numero di componenti c. LPF con accoppiamento DC, guadagno - Filtro SAW da 20MHz, IF da 140MHz
fisso, cut-off fisso - Alimentazione a 3,3V, consumo di
da scegliere e gestire in BOM e un time to market più
corrente totale 1,3W , LT, LTC, LTM, Linear Technology, il logo Linear e µModule
rapido. d. Alimentazione a 5 V e 3 V, consumo di sono marchi registrati di Linear Technology Corporation.
• Package LGA da 22 x 15mm Tutti gli altri marchi sono di proprietà dei rispettivi titolari.
Sono due le architetture dei ricevitori prevalenti nel corrente totale di 1,8 W
design delle stazioni base: conversione diretta e • Architettura di campionamento IF (LTM9005)
campionamento IF. La conversione diretta demodula il a. Range ingresso RF da 400 MHz a 3,8 GHz
segnale RF e lo converte in DC (0 MHz nel dominio della b. Range continuo di attenuazione da 20 dB
frequenza). Ciò semplifica il filtro e consente l’uso di filtri c. Filtro SAW da 20 MHz, IF da 140 MHz
passa-basso con una frequenza di cut-off di 10 MHz d. Alimentazione a 3,3 V, consumo di corrente
(larghezza di banda sul segnale di 20 MHz). L’LTM9004 totale 1,3 W
implementa questa architettura. Sono disponibili diverse • Package LGA da 22 x 15 mm
opzioni per larghezze di banda del segnale diverse. Il
campionamento IF esegue la conversione in una Distributori
Arrow +39-02-661251
frequenza intermedia (IF), in questo caso 140 MHz, e il Per ulteriori info: www.linear.com/9004 Linear Technology Italy Srl +39-039-5965080 Farnell Italia +39-02-93995200
Lauterbach sistemi di sviluppo e debug

Mouser è stato eletto


distributore europeo dell’anno
Mouser Electronics, Inc, azienda riconosciuta come Top Global Design
Engineering Resource per i semiconduttori ed i componenti elettronici ha
vinto il prestigioso premio “Elektra 2010 European Industry Award”.
L’azienda, che fa parte del gigante Warren Buffett (Berkshire Hathaway, Inc), si rivolge in particolar modo
ai Progettisti Elettronici, offrendo loro i prodotti e le tecnologie più innovative. “Il conseguimento di
questo premio, riconosciuto a livello europeo, ci onora. Significa che stiamo percorrendo la strada giusta”
queste le parole pronunciate da Glenn Smith, Presidente e CEO. “Abbiamo inaugurato l’ufficio europeo
solo due anni fa, ma, grazie alla sincera dedizione di ogni singolo individuo, abbiamo già incrementato il
nostro business, rapidamente, presentando ai progettisti europei (ma non solo a loro) i prodotti più
innovativi. L’essere riconosciuti come Top European Distributor in un così breve arco di tempo é per noi
davvero incentivante”. Mouser si è aggiudicato il riconoscimento sbaragliando la concorrenza composta
da tutti i maggiori distributori europei, tra i quali i finalisti Farnell, RS Components, Arrow e Avnet Abacus.
Come noto, l’”Elektra 2010 European Electronics Industry Award”, organizzato dalla rivista Electronics
Weekly, é l’evento topico dell’anno per il settore dell’elettronica industriale. Dopo aver valutato le
candidature provenienti da tutta Europa, una giuria indipendente seleziona le migliori applicazioni in
termini di innovazione, incremento delle vendite e motivazioni dei dipendenti (per maggiori informazioni
fare riferimento a http://www.elektraawards.co.uk/). “Il crescente successo di Mouser in Europa è stato
decretato dai numerosi centri di assistenza presenti sul territorio,i quali, posizionati in modo strategico,
supportano a livello locale l’attività di progettisti e di approvvigionatori”, così spiega Mark Burr-Lonnon,
Vice Presidente EMEA e APAC. “Mouser vanta attualmente 14 centri nel mondo, fra i quali Londra, Monaco
di Baviera, Brive (Francia), Milano e Tel Aviv. Siamo estremamente motivati a continuare a fornire agli
odierni progettisti il miglior servizio possibile, selezionando i migliori prodotti dai maggiori fornitori,
comunicando informazioni sui prodotti di livello superiore e offrendo una rapida consegna globale. Non
abbiamo un servizio di riparazione o di manutenzione, per cui dobbiamo necessariamente concentrarci
sull’ eccellenza dei prodotti e del servizio offerto. E questo successo europeo ci elettrizza” (per vedere il
video dell’intervista a Burr-Lonnon fare riferimento a http://www.mouser.com/winner).
www.mouser.com

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


8
RS components design spark

Rilevatore di fumo
Microchip annuncia il circuito integrato a 3V per la rilevazione dei fumi con driver per sirena e
regolatore boost integrati RE46C190. Primo IC al mondo per la rilevazione dei fumi ad offrire
funzionamento a bassa tensione e funzioni di calibrazione e modalità operative programmabili, l’IC
RE46C190 permette di selezionare la modalità operativa e il tipo di calibrazione ottimali
direttamente in fase di produzione del sistema. La calibrazione programmabile e la selezione delle
modalità operative del rilevatore di fumo mettono a disposizione dei progettisti un semplice mezzo
per controllare il funzionamento del sistema, consentendo di sfruttare un unico IC per sviluppare
prodotti utilizzabili nel contesto di mercati e di situazioni normative differenti.
La programmabilità elettronica permette di ridurre il numero di componenti esterni, contenendo
così i costi associati alla produzione e al magazzino. L’introduzione del nuovo circuito integrato a
bassa tensione amplia la linea Microchip di micro controller PIC®, di driver per sirene, di IC
e di dispositivi di power-management e di gestione dei segnali destinati alle applicazioni di
rilevamento fumi, dalle soluzioni residenziali più semplici ai sistemi programmabili commerciali.
L’IC di rilevamento fumi RE46C190 è offerto in package SIOC 150 mil a 16-pin.
http://www.microchip.com/get/K628

Avnet Memec amplia il portafoglio


delle soluzioni wireless
Avnet Memec ha annunciato un accordo di distribuzione pan-europea con apm Communication
(apmComm) di Taiwan, lo specialista di soluzioni wireless SiP (System-in-Package).
Come stabilito dal contratto di collaborazione, Avnet Memec metterà a disposizione dei propri
clienti nella regione europea i moduli ultra miniaturizzati e dai prezzi estremamente competitivi
disegnati da apmComm per le applicazioni mobili. La gamma dei prodotti apmComm include i
moduli Bluetooth®, Wi-Fi™, GPS, RDS-TMC e GPS/RDS-TMC. L’azienda è in grado di sviluppare al
proprio interno tecnologie proprietarie di Integrated Passive Device (IPD) e può svolgere attività di
progettazione di soluzioni RF MMIC. Ciò le consente di offrire prodotti ultra compatti, altamente
performanti e a prezzi concorrenziali.
www.avnet-memec.eu

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


9
Soluzioni
cosa offre il mercato
di FRANCESCO FICILI egli ultimi anni i processi di inte- tipica in genere è composta da:

Un System-on-Chip è un particolare
N grazione dei chip elettronici (at-
tualmente giunti a soluzioni che arri-
• Un processore (che può essere single
core o multicore). I core possono esse-
tipo di circuito integrato vano a 45 nm) sono diventati sempre più re core di processori, microcontrollori o
che in un singolo chip ingloba efficienti e raffinati, fornendo di con- anche DSP (Digital Signal Processor).
un intero sistema elettronico. seguenza la possibilità, alle case pro- • Una o più memorie e relativi controller.
duttrici, di integrare un sempre mag- Le memorie possono essere di vario ti-
Ecco quali sono le soluzioni
giore numero di blocchi e componenti po: RAM, ROM, EEPROM o Flash.
che il mercato offre ai progettisti
all’interno di un singolo die di silicio. E’ • Un generatore di clock e un PLL (Pha-
così che si sono venuti sempre più af- se Locked Loop).
fermando negli ultimi anni i cosiddetti • Periferiche digitali di diverso tipo: Ti-
System-on-a-chip, in genere conosciuti circuiti a radiofrequenza, insomma un si- mer, contatori, Real Time Clock.
con l’abbreviazione SoC. Un SoC è un stema elettronico completo dotato an- • Controller per interfacce di comuni-
particolare tipo di circuito integrato che che di tutte le interfacce, incluse quel- cazione standard come ad esempio
in un singolo chip ingloba un intero si- le wireless. Naturalmente il campo di USB, Firewire, Ethernet, CAN, USART,
stema elettronico. Tali sistemi dispon- applicazione più sentito è quello dei SPI, I2C ed altro.
gono, tipicamente, al loro interno, oltre • Periferiche analogiche come Conver-
dispositivi embedded date le ridotte di-
che del processore centrale anche di al- titori A/D e D/A e modulatori PWM.
mensioni che si riescono ad ottenere
tri chipset, come ad esempio il con- • Regolatori di tensione ed altri tipi di
con l’integrazione di tutti i componenti
troller della memoria RAM ed il sottosi- circuiti di gestione dell’alimentazione.
in un singolo chip.
stema video (ad esempio una GPU). Un I vari blocchi funzionali sono natural-
singolo system-on-chip, nell’accezio- mente interconnessi tramite BUS pro-
ne più generale possibile del termine, STRUTTURA DI UN SYSTEM prietari o BUS standard, per l’inter-
può arrivare a contenere al suo interno ON CHIP cambio di informazioni tra un blocco e
periferiche analogiche (come conver- Un tipico System on Chip comprende al l’altro. In genere è sempre presente un
titori A/D, D/A e comparatori), circuiti di- suo interno diversi blocchi funzionali controller DMA che gestisce il trasferi-
gitali (CPU, Chipset e controller) e anche principali e periferiche, una struttura mento dati tra la memoria e i bus ester-

10 FOCUS ON 14
20 SKILLS 32
40 INSIDE 37
50 TOOLS 48
53 ANALOG

4 TIPS’N TRICKS 8
6 MARKET NEWS 29
18 SPOTLIGHT 62
59 EVENTS ZAPPING ABBONAMENTO
Figura 2: il system-on-chip Apple A4. 

ni, in modo da non gravare sul proces- attraverso varie fasi. Dopo un fase ini-
sore per questo tipo di operazioni. In fi- ziale di “concept” il progetto viene af-
gura 1 è rappresentato lo schema a finato attraverso una successiva fase di
blocchi di un SoC basato su core ARM. emulazione, che in generale viene ef-
Naturalmente un System-on-chip non è fettuata caricando i vari moduli funzio-
composto solo da hardware, ma ne fan- nali all’interno di una FPGA (Field Pro-
no parte anche alcune componenti soft- grammable Gate Array). L’affinamento
ware che ne consentono il funziona- del progetto avviene per fasi successi-
mento. ve, sfruttando la possibilità di ripro-
Ad esempio la gestione dei bus di in- grammare di volta in volta l’FPGA.
terfacciamento è gestita tramite op- Terminata la fase di emulazione si pas-
portuni driver caricati all’interno del sa allo sviluppo vero e proprio del chip,
chip ed è parte integrante del sistema che in genere è eseguito con tecniche
stesso, in modo che il cliente finale non classiche. Una fase fondamentale è la
si debba curare delle problematiche re-
verifica e la validazione finale del chip.
lative all’interfacciamento.
Durante questa fase viene fatto un mas-
Trattandosi di sistemi estremamente
siccio uso di linguaggi per lo sviluppo di
complessi, il progetto di un SoC passa
Figura 1: schema a blocchi di un System-on-chip basato su core ARM. sistemi digitali come VHDL, Verilog, Sy-

10 FOCUS ON 14
20 SKILLS 32
40 INSIDE 37
50 TOOLS 48
53 ANALOG

4 TIPS’N TRICKS 8
6 MARKET NEWS 29
18 SPOTLIGHT 62
59 EVENTS ZAPPING ABBONAMENTO
cessore centrale (un ARM Cortex), da
una GPU (Graphic Processing Unit) e
da alcuni controller (in particolare ab-
biamo sia il NorthBridge che il Sou-
thBridge, oltre al controllore della me-
moria).
Il processore centrale è un ARM Cortex
A9, in grado di supportare operazioni a
2 GHz, ma attualmente limitato al fun-
zionamento ad 1 GHz, per limitare con-
Figura 3: l’iPad ed il nuovo iPhone4, sumi e dissipazione termica.
entrambi i dispositivi fanno uso dell’A4.  Ci sono state varie diatribe sulla pro-
venienza, all’interno di Apple, del know
how necessario alla realizzazione di un
simile dispositivo, dato che Apple, negli
ultimi anni, ha acquisito varie società del
settore seminconduttori.
Le prime indiscrezioni sembravano in-
dicare che il progetto scaturisse dal
Figura 4:
know how interno di P.A.
il chip
Semi, società acquisita da Apple nel
nVidia Tegra. 
2008, ma successivi esami hanno indi-
stemC e Vera. Gli errori individuati in cato che l’SoC è un progetto della In-
questa fase vengono corretti ritornando trisity (società acquisita da Apple nel
alla progettazione e ripetendo il ciclo. 2010), sviluppato durante il 2009 e de-
Chiaramente per sistemi complessi que- nominato inizialmente Hummingbird,
sto processo può essere anche molto customizzato per Apple e prodotto dal-
lungo, e si stima che in alcuni casi arri- Figura 5: schema a blocchi del Tegra.  la Samsung.
vi a coprire anche il 70% del tempo to- ancora. Attualmente solo i grossi pro- APPLE/SAMSUNG A4 Esperti del settore ritengono che SoC
tale di sviluppo. I SoC sono attualmen- duttori di chip hanno iniziato a diffon- L’Apple A4 è un RISC SoC della Apple come l’A4 rivoluzioneranno il mondo
te una delle più recenti linee di sviluppo dere le prime soluzioni complete di que- Inc., e trova impiego in alcuni prodotti dei PC, garantendo ai dispositivi portatili
nel campo dei sistemi digitali, e molti sto tipo. In questo articolo esamineremo dell’azienda californiana, come ad le stesse funzionalità dei PC desktop
teorici ed esperti del settore vedono in le architetture di dei seguenti SoC: esempio il tablep iPad ed il nuovo iPho- con consumi ridottissimi, fornendo al
questa tecnologia il futuro di settori • Apple/Samsung A4 ne 4. L’A4. mercato famiglie di dispositivi ad alte
come quello dei dispositivi portatili mul- • NVIDIA Tegra Si tratta di un system-on-chip in tec- prestazioni ed in grado di funzionare
timediali, degli Samrtphone e di altri • Intel Tolapai nologia a 45 nm, costituito da un pro- per giorni con una singola carica.

10 FOCUS ON 14
20 SKILLS 32
40 INSIDE 37
50 TOOLS 48
53 ANALOG

4 TIPS’N TRICKS 8
6 MARKET NEWS 29
18 SPOTLIGHT 62
59 EVENTS ZAPPING ABBONAMENTO
STM RAD case

NVIDIA TEGRA svolgere. In particolare all’interno di un INTEL TOLAPAI


Anche NVIDIA, società nota per la pro- Tegra, distinguamo i seguenti proces- Naturalmente anche il colosso mon-
duzione di chip grafici e moterboard, sori: diale del mercato del silicio non poteva
è entrata prepotentemente nel mercato • Un core ARM11 operante ad 800 MHz non includere nel suo già vastissimo
dei SoC. La prima famiglia di prodotti • Un core video HD portafoglio prodotti, una famiglia di di-
commerciali della società di Santa Cla- • Un processore d’immagine spositivi SoC. In effetti Intel è stata tra
ra prende il nome di Tegra, e si tratta di • Un Processore Audio i primi a lanciarsi nel mercato dei di-
un System-on-Chip basato su un core • Una GPU derivata dalla nota famiglia spositivi SoC, con l’immissione sul mer-
ARM11. La caratteristica peculiare del di processori grafici GeForce, caratte- cato del Tolapai. Intel è stata tra i primi
Tegra è quella di avere un’architettura rizzata da un bassissimo consumo ener- produttori di chip per PC a comprendere
estremamente “eterogenea”, ossia il getico. l’importanza dell’introduzione dei si-
chip è costituito da diversi core, ognu- In figura 5 è riportato uno schema a
stemi SoC per il mondo dei dispositivi
no ottimizzato per uno specifico com- blocchi di massima del Tegra. Il Tegra
embedded destinati alla grande distri-
pito, in accordo con il paradigma che 650 è in grado di garantire una durata
buzione (Smartphone, tablet PC e quan-
vede, nel futuro, più che l’aumento del della batteria di circa 130 ore di ripro-
t’altro), così lanciò sul mercato, nel
parallelismo dei processori, una mag- duzione audio e 30 ore di riproduzione
2007, un chip noto con il nome com-
giore ottimizzazione dei singoli core in video ad alta definizione. Tra le altre
funzione del compito che andranno merciale di Intel EP80579.
caratteristiche offerte da tale SoC si
possono citare il sup- Il chip integra al suo interno sia il pro-
porto per fotocamere cessore principale che il controller per
che possono arrivare fi- la gestione della memoria e la circuite-
no a 12 MPixel, e la ca- ria di I/O. Si era così ottenuto un pro-
pacità di offrire all’uten- cessore nativamente integrato con il
te il pieno sfruttamento chipset, ed in particolare sia con il Nor-
delle potenzialità tipi- thBridge che con il SouthBridge. L’ar-
che delle applicazioni chitettura del processore è derivata di-
web 2.0. Inoltre il sy- rettamente dalla famiglia Pentium M,
stem-on-chip supporta con 256 KB di cache di secondo livello
nativamente lo standard (L2) e un controller di memoria DDR2, in
HDMI, tramite una porta grado di supportare fino a 2GByte di
dedicata ed è predi- memoria.
sposto per connettività La circuiteria di I/O invece è in grado di
wireless su standard wi- consentire la gestione delle interfacce
fi, senza necessità di PCIExpress, oltre ad USB, SATA ed
componenti aggiuntivi. Ethernet.

Figura 6: il chip Intel Tolapai.  Codice MIP 2783787

10 FOCUS ON 14
20 SKILLS 32
40 INSIDE 37
50 TOOLS 48
53 ANALOG

4 TIPS’N TRICKS 8
6 MARKET NEWS 29
18 SPOTLIGHT 62
59 EVENTS ZAPPING ABBONAMENTO
+ Skills PROGETTAZIONE
TERMOMETRO
SENZA SONDA
CON PIC di MARCO RUSSI

Come usare Nella realizzazione


il watchdog timer di una applicazione per il settore
per misurare automotive, è necessario attenersi
la temperatura. a molti standard di progettazione
tra cui quelli definiti dall’‘Automotive

L’IMPORTANZA Electronic Committee

DEL RANDOM
e auto moderne hanno a bordo un
Alcune utili tecniche
per la generazione
L notevole numero di centraline elet-
troniche ognuna responsabile di uno
di numeri casuali. specifico compito o di una parte di es-
so sia che riguardi la mobilità del veicolo
sia la sicurezza stessa dei passeggeri.
La comunicazione tra loro è garantita da
varie reti gobali o locali in base al si-
stema o sottosistema di cui la centrali-
na fa parte. L’interoperabilità, ovvero
la capacità di più centraline di comuni-
care e interagire tra loro, è quindi un Figura 1: circuiti stampati in FR4. 
concetto fondamentale data anche la
sempre più modulare architettura del rare il controllo in tempo reale. Spesso lizzazione delle centraline in luoghi più
sistema “vettura”. Tuttavia in certi casi anche il costo di svilupo di un protocollo “favorevoli” all’elettronica, dall’altro la-
non è possibile dividere in più unità un di comunicazione complesso limita la to il fatto di limitare la distanza tra sen-
certo compito che rimane assegnato suddivisione di un sistema in più sotto- sori, centralina di controllo e attuatori
ad un solo componente in modo da sistemi. Se quindi da un lato il concetto obbliga la disposizione del componen-
avere un “loop” locale e quindi miglio- di sistema distribuito permette la loca- te vicino al sistema.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Basti pensare alla centralina controllo
motore, detta comunemente ECU, che
data la notevole quantità di sensori e at-
tuatori, nonchè la delicata e complessa
elaborazione del controllo, obbliga i
progettisti a posizionarla nel vano mo-
tore, un luogo poco adatto ad un com- Figura 2: circuito stampato in alluminio. 
ponente elettronico soprattuto dal pun-
to di vista della temperatura. Durante la sia dal punto di vista fisico che mecca-
progettazione dell’hardware bisogna nico. Le case produttrici offrono quindi
quindi considerare certi aspetti che so- molti prodotti conformi alle specifiche
litamente non vengono nemmeno cita- automotive ma al progettista elettroni-
ti. Nel settore automotive vi sono molti co non basta scegliere tali componen-
standards di qualità a cui ogni compo- ti per mettersi al riparo da ogni proble-
nente elettronico (microprocessore, me- ma perchè anche il circuito stampato
moria, ecc...) deve attenersi e conse- gioca un ruolo fondamentale. Qui di se-
guenti tests da superare prima del suo guito verranno elencati i principali ac-
utilizzo vero e proprio. corgimenti da prendere nella progetta-
Tra quelli pubblicati dall’AEC (Automo- zione di hardware per elevate
tive Electronic Committee) citiamo temperature. Come detto poco sopra
l’AEC-Q100 nel quale vengono citati i la tecnologia del PCB e la tecnica di
Tabella 1: prove di stress ambientale per componenti grade 0 e grade 1.
differenti “range” di temperatura e umi- saldatura vanno valutate con molta at-
dità nonché i tempi dei tests in base al tenzione. Esistono differenti materiali
maticamente verificherà anche quelle va di 150°C per grade 0 e 125°C per
“grade” (grado) di temperatura del com- su cui realizzare il circuito stampato:
grade 1 ma non viceversa. Ogni prova grade 1.
ponente. Per semplicità sono stati ri- • Standard FR4 (fino a 85°C), costo: 1;
portati solo i tests attinenti alle due fa- va eseguita secondo rigide regole elen- Tuttavia il limite del grade 0 lo si nota • Standard FR4 HTG (fino a 175°C), co-
sce di temperatura più ampie, in modo cate nei rispettivi documenti citati in nella vita operativa ad alta temperatura sto: 1,15;
da paragonarle tra loro. tabella. Riassumendo le principali dif- che non supera le 1000 ore. Queste • Poliimmide o Estere di cianato, costo
Dalla tabella 1 si nota la suddivisione in ferenze tra grade 0 e grade 1 possiamo prove vengono realizzate in speciali e 1,9;
prove termiche di tipo ciclico e di durata dire che: costose camere climatiche dove è pos- • Ossido di alluminio (> 500°C);
nonchè prove di umidità. Grade 0 e gra- 1- I cicli termici sono più severi a grade sibile riprodurre ogni condizione am- • Nitrato di alluminio (> 600°C).
de 1 si riferiscono a due differenti “ran- 0: più cicli a temperature più elevate; bientale richiesta dal test e program- Il costo è riferito a FR4 standard. In ge-
ge” di temperatura del componente, in 2- Il tempo di durata di immagazzina- mare l’intera prova in modo che venga nere è consigliabile l’uso di FR4 HTG
particolar modo il primo arriva a 150°C mento ad alta temperatura è doppio a svolta autonomamente. Le elevate tem- che rappresenta un buon compromes-
ed il secondo a 125°C. parità di temperatura; perature, superiori ai comuni 125°C, so tra costi ed affidabilità. Per la sal-
Si intuisce facilmente che se un com- 3- I cicli PTC (Power Temperature Cycle) creano non pochi problemi alla mag- datura ci sono molte leghe metalliche
ponente verifica le prove grade 0 auto- sono eseguiti alla temperatura operati- gior parte dei componeneti elettronici senza piombo tra cui scegliere ed in

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


base alle proporzioni dei metalli pre- che potrebbero compromettere la dis-
senti si ottengono diverse temperature sipazione. Date le elevate temperature
di fusione. Ad esempio una lega con è necessario applicare numerosi “vias”,
punto di fusione intorno ai 220°C può di larghe dimensioni, in modo da incre-
andar bene per applicazioni fino a mentare la conduzione termica attra-
175°C. Chiaramente vanno anche con- verso gli strati del dielettrico e quindi
siderate tutte le altre caratteristiche migliorare la saldatura. Altresì impor-
comuni ad applicazioni a bassa tem- tante ricordarsi di dimensionare even-
peratura. Per quanto riguarda la me- tuali dissipatori sulla scheda in manie-
tallizzazione possiamo subito esclude- ra tale che riescano a lavorare anche
re il “rame chimico” per vari motivi: alla massima temperatura di test. Infine,
tossicità, reazione gassosa, che poco si le ripetute dilatazioni termiche unite
concilia con le miniaturizzazioni mo- con le vibrazioni alla quale è sottoposta
derne e l’elevato “aspect ratio”, e peri- una centralina possono dare problemi
colose diffusioni di rame. Si consiglia non solo alle saldature e ad altre parti
quindi una prima metallizzazione in OSP meccaniche ma anche alla struttura in-
(Organic Solderability Preservative) in terna di componenti come i condensa-
atmosfera di nitrogeno seguita da una tori. Un consiglio è dunque quello di
seconda metallizzazione Ni-Au, ovvero mettere al posto del solito condensatore
una copertura di Nickel e successiva di bypass ai capi dell’alimentazione di
immersione in oro. Per quanto detto un chip, due condensatori in serie di
prima sulle caratteristiche termiche dei capacità doppia, in modo da ottenere lo
componenti, i dispositivi con package stesso valore ma con due condensa-
QFN sono dei buoni candidati per usi in tori, e montarli sulla scheda in posizio-
alte temperature ma vanno presi degli ne tra loro ortogonale. Questo evita che
accorgimenti sul perimetro dei pads nel caso di cortocircuito interno di uno
del circuito stampato. I pads di salda- dei due condensatori, dovuto ad
tura devono combaciare con quelli del un’eventuale vibrazione o stress su uno
dispositivo ed essere distanti di almeno dei due assi, si venga a creare un cor-
0,076 mm dal solder mask. Per sicu- tocircuito sull’alimentazione. Queste
rezza i pads possono essere disegnati accortezze, in aggiunta ad un’attenta
Figura 3: delle dimensioni massime dichiarate scelta dei componenti, evitano non po-
camera nel datasheet del componente. I pads chi problemi durante le prove in fase
climatica termici per la dissipazione dei compo- di validazione, riducendo il lavoro del
per prove nenti vanno posizionati il più vicino pos- progettista ed il tempo di sviluppo.
ambientali. sibile al componente stesso in modo
 da non avere “ponti” tra i due elementi Codice MIP 2783915

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


+ Skills Termometro senza sonda con
PROGETTAZIONE
AUTOMOTIVE
Nella realizzazione
Questo articolo mostra un metodo per utilizzare il WDT (Watch Dog Timer) interno di un microcontrollore PIC
di una applicazione
per misurare la temperatura ambiente senza utilizzare nessuna sonda esterna
per il settore
automotive, di LUCA PERTILE

è necessario attenersi
er mostrare questa particolare tec-
a molti standard
di progettazione
P nica di misura, si farà uso del PICkit
2 di Microchip che comprende una
tra cui quelli definiti scheda demo con un microcontrollore a
dall’Automotive 44 pin PIC16F887, il programmatore
PICkit 2 con relativo cavo USB e 2 CD-
Electronic
ROM con software e manuali vari.
Committee. La temperatura misurata viene visua-
lizzata sugli 8 LED presenti nel circuito,
L’IMPORTANZA basta toccare il corpo dell’integrato per
vedere la barra alzarsi oppure soffiarci
DEL RANDOM
sopra per vederla abbassarsi.
Alcune utili tecniche Per fare questa misura viene sfruttato
per la generazione di il WDT interno dell’integrato, un di-
numeri casuali. spositivo presente in tutti i microcon-
trollori PIC.

Figura 1: ecco tutto il materiale contenuto


nel kit DV164121 vicino ad un netbook,
PC ideale per questo tipo di applicazioni
che sfruttano la porta USB
per la programmazione.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


PRINCIPIO DI FUNZIONAMENTO anche lui assieme al programma di cui
All’interno dei microcontrollori PIC è ne controlla il regolare funzionamento e
presente un dispositivo chiamato WDT non sarebbe di nessuna utilità. Non è al-
Watch Dog Timer il cui compito, se abi- tro che un contatore che al raggiungi-
litato, è quello di resettare il microcon- mento di un determinato valore resetta
trollore nel caso di blocco del pro- il micro. Nel programma che la CPU
gramma. È una funzione a cui non siamo esegue ci deve essere però, a interval-
abituati: di solito quando un dispositivo li regolari, una particolare istruzione
si blocca, ad esempio un computer, do- (CLEARWDT) che fa ripartire da zero il
biamo resettarlo a mano. Microchip ha contatore. Se non ci fosse questo az-
pensato di mettere all’interno dei suoi zeramento del WDT il micro si riavvie-
micro un circuito che è in grado di “sen- rebbe a intervalli regolari ogni pochi
tire” se la CPU è bloccata e di avviare la secondi. Di default il WDT è disabilitato
procedura di reset dopo un periodo proprio per semplificare la creazione
prestabilito. Questo periodo e la fun- dei programmi. Nel caso in cui il pro-
zione WDT stessa possono essere im- gramma in memoria del micro si bloc-
postati da chi programma il firmware. casse non ci sarebbero più chiamate
CLEARWDT e il contatore WDT prose-
IL WATCH DOG TIMER guirebbe nel suo conteggio fino ad ar-
Si tratta di un dispositivo implementato rivare al suo limite e quindi al reset di
in hardware, esterno alla CPU. Se fosse tutto il processore. È evidente che que-
realizzato via software si bloccherebbe sto sistema permette di evitare il bloc-

Figura 2: il programmatore USB PICkit 2 e basetta demo con PIC a 44 pin utilizzati per la
prova. Notare il pulsante che in questa applicazione serve per resettare le misure di
temperatura.

Figura 3: toccando con un dito il corpo del microcontrollore è possibile aumentare la sua
temperatura e di conseguenza la visualizzazione nei LED.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Pcb-pool Produzione circuiti stampati beta-layout

Figura 4: basta togliere il dito dall’integrato per diminuire la sua temperatura.

co di qualsiasi microcontrollore ma chi hanno velocità di funzionamento più al-


programma deve implementare il fir- te rispetto alle alte temperature. Sono va-
mare con chiamate CLEARWDT altri- lori piccoli, ma misurabili con il micro-
menti il sistema non funzionerebbe. In controllore. Ci troveremo così ad avere un
pratica, una volta abilitato il WDT, il WDT che raggiunge prima il suo con-
software deve “tenerlo a bada” a inter- teggio quando fa freddo rispetto a quan-
valli regolari altrimenti, terminata la sua do si trova a lavorare in un ambiente
corsa, viene resettato il micro. caldo. In altri termini il WDT lavora len-
tamente a temperature elevate e invece
WATCH DOG TIMER viaggia più veloce quando fa freddo.
E TEMPERATURA Questa relazione tra velocità di funzio-
Verrà da chiedersi a questo punto co- namento e temperatura risulta avere,
me sia possibile misurare la temperatu- come documentato nelle application no-
ra ambiente senza nessun sensore. Eb- tes di Microchip, un andamento abba-
bene prima abbiamo tralasciato di dire stanza lineare.
che il WDT è influenzato principalmente
da due fattori: la tensione di alimenta- LA VARIABILE W
zione e la temperatura in cui si trova a la- Nel programma per misurare la tempe-
vorare il micro. Il primo fattore, la ten- ratura viene eseguito un ciclo molto
sione, si presuppone sia costante, e lungo e incrementata la variabile W di
quindi ininfluente sul funzionamento del una unità ad ogni ciclo. All’interno di
WDT. La velocità di conteggio del WDT questo ciclo non è presente nessuna
invece cambia al variare della tempera- chiamata CLEARWDT per fare in modo
tura. Questo succede in tutti i circuiti che il WDT riavvii la CPU dopo un pe-
elettronici, e cioè a basse temperature si riodo di circa 140 ms. Al momento del

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


reset la variabile W conterrà il valore misurato dal ciclo precedente. È un trasferire il file .HEX del termometro mendo il pulsante write. Non dimenti-
massimo raggiunto dal ciclo. Questo grosso vantaggio, che semplifica no- scaricato dal sito di Fare Elettronica è care, all’interno di questo programma, di
valore è proporzionale alla temperatura tevolmente il nostro programma. necessario installare il software PICkit 2 abilitare la voce “VDD PICkit 2” per fa-
di funzionamento del processore. Il re- Programmer v2.61 che si trova nel CD- re in modo di fornire tensione alla demo
board attraverso il programmatore.
set generato dal WDT fa ripartire il micro PROGRAMMAZIONE DIRETTA ROM allegato al kit.
ma i valori delle variabili non vengono DEL FILE HEX È sufficiente andare nel menu file e clic-
LOGIC TOOL
cancellati e quindi, anche dopo il re- Se non si è interessati alla program- care su “Import HEX” per trasferire sul
Sempre all’interno del software PICkit 2
set, all’interno di W troveremo il valore mazione in PICBasic e si vuole soltanto PIC16F887 il codice HEX scaricato pre-
Programmer è presente un menu con
Figura 5: programma per trasferire il file HEX. svariati tool. Uno dei più interessanti è
Notare il settaggio della tensione a 5V che senz’altro il “Logic Tool” che permette di
permette di alimentare la basetta demo trasformare il programmatore in un pic-
direttamente dal programmatore USB. colo oscilloscopio a 3 canali.
Nel programma è stato abilitato uno di
Figura 6: il “Logic tool” trasforma il PICkit 2 in questi canali per analizzare quanto tem-
un’oscilloscopio a 3 canali. Qui sta misurando po impiega il WDT a bloccarsi. Si è abi-
in quanto tempo si blocca il WDT.
litato il canale 1 che è collegato alla
PORTB.7. Come si ve-
de dalla figura, con
una temperatura am-
biente di circa 26 °C
il tempo è di 141 ms.
Appena trasferito il fi-
le .HEX nel micro e
data tensione non di-
menticarsi di preme-
re il pulsante della ba-
setta per resettare le
misure e azzerare la
scala iniziale. Di de-
fault si accendono 2
LED ma basta cam-
biare una costante nel
programma (led CON
2) per modificare tale
parametro.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Millennium dataware produzione circuiti stampati

- http://store.melabs.com/prod/software/PBP.html (Compilatore PICBasic).

- http://www.mecanique.co.uk/code-studio/ (MicroCode Studio).

- http://www.microchip.com/ (Datasheet PIC16F887, PICkit 2).

- http://ww1.microchip.com/downloads/en/DeviceDoc/PICkit%202%20v2.61.00%20Setup%20A.zip

(PICkit 2 programmer V 2.61).

- http://www.youtube.com/watch?v=cp5gNw2kro8 (Video del circuito in funzione).

IL PROGRAMMA La terza è che se si abilita il WDT biso-


Il programma è stato scritto in PICBasic gna informare il compilatore con il co-
e ruota attorno ad una routine di con- mando
teggio che blocca il WDT. Questa rou- DEFINE NO_CLRWDT 1
tine conserva il valore di conteggio al- di non inserire automaticamente l’istru-
l’interno di una variabile W. zione CLEARWDT all’interno del pro-
La variabile ha un valore che è propor- gramma altrimenti non si riuscirebbe mai
zionale alla temperatura e vine visua- a far resettare il micro con una routine
lizzato attraverso la barra a LED. Ci so- molto lunga come invece abbiamo fatto.
no alcune accortezze nella
CONCLUSIONI
programmazione a cui bisogna fare at-
Questa semplice applicazione mostra
tenzione quando si utilizza la demo
come a volte è possibile ricorrere al
oard a 44 pin. La prima è quella di atti-
software per sopperire alle lacune del-
vare l’oscillatore interno del PIC16F887
l’hardware. In questo caso siamo riusciti
perché non è presente nessun quarzo
a misurare la temperatura ambiente
sulla basetta e questo lo si fa al mo-
senza utilizare nessun tipo di sensore
mento della definizione del tipo di micro
hardware esterno. Si è visto anche che
con il comando alcuni strumenti messi a disposizione
INTRC_OSC_NOCLKOUT. dei microcontrollori, come il WDT, pos-
La seconda è quella di disabilitare i sono essere utilizzati anche per scopi
convertitori analogico/digitali della diversi da quelli per cui sono stati pro-
PORTB con il comando gettati. È importatnte capirne il funzio-
ANSELH=%00000000 namento, le loro applicazioni poi di-
che sono attivi per default e che impe- pendono solo dalla fantasia di chi
discono al pulsante collegato alla progetta il firmware.
PORTB.0 di funzionare. Codice MIP 2800019

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


+ Skills L’importanza dei
TERMOMETRO
SENZA SONDA
CON PIC
Come usare parte la realizzazione di un giogo COSA SONO I NUMERI CASUALI?
il watchdog timer
di WILLIAM PASIN

In molte applicazioni
A di dadi, la generazione di numeri
casuali viene utilizzata in molteplici ap-
Non esiste una definizione unica e ge-
nerale di numero casuale, essa infatti di-
per misurare
è spesso necessario plicazioni e spesso in modo completa- pende spesso dal contesto. Il concetto
la temperatura. stesso di numero casuale non è asso-
generare numeri casuali mente trasparente all’utilizzatore. A
esempio in una comunicazione dati su luto, in quanto un numero o una se-
distribuiti più o meno
PROGETTAZIONE Ethernet i dati vengono trasmessi allo
uniformemente scadere di un breve intervallo di tempo
AUTOMOTIVE
in un determinato di durata casuale (o pseudo tale) in mo-
Nella realizzazione
intervallo, ma l’ordine do da ridurre al minimo la probabilità di
di una applicazione
dei programmi collisione dei pacchetti dati. Un’altra
per il settore applicazione in cui la generazione dei
e dei circuiti logici
automotive, numeri casuali è fondamentale è la crit-
rende difficoltosa
è necessario attenersi tografia dati in cui un numero random
questa operazione. viene utilizzato come chiave di codifica
a molti standard
di progettazione Ecco allora alcune e decodifica dei dati.
tra cui quelli definiti utili tecniche Anche se la generazione di un numero
casuale può apparire una cosa sempli-
dall’Automotive
ce, in realtà la cosa è piuttosto compli-
Electronic
cata dal momento che anche il naturale
Committee. disordine delle cose potrebbe risultare
troppo ordinato! Vediamo allora alcu-
ne tecniche che potrete utilizzare nei
vostri progetti.

Figura 1: distribuzione di probabilità


uniforme (a) e gaussiana (b). 

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


quenza di numeri possono essere ca- Listato 1 
suali per un osservatore, ma non es-
#include <stdio.h>
serlo per un altro (che conosce la legge
con cui essi vengono generati). Per // Registro
semplicità di seguito indicheremo con unsigned char LFSR;
“numero casuale” un numero, selezio-
// Funzione di aggiornamento
nato grazie ad un processo aleatorio, da
un insieme finito di numeri. Con questa Figura 2: struttura di un LFSR ad 8 bit.  void NextRand(void);
definizione abbiamo spostato il con- //—————————
cetto di casualità dal numero (o dalla se- estrazioni). Se si rappresentano su un perché è tipica dei processi naturali
main()
quenza di numeri) al processo di sele- grafico gli elementi e la loro rispettiva (es. rumore di molti componenti elet-
zione. Questo particolare risulterà utile probabilità di essere estratti, si ottiene tronici, o di molti canali di telecomuni- {
in seguito. Va notato che nella prece- un grafico “rettangolare” (figura 1a). cazione, errori nelle misure e conver- int i;
dente definizione avremmo potuto so- Dal momento che la probabilità viene sioni A/D e D/A, ecc...), e viene quindi
// Inizializzazione (seme)
stituire il termine “numero” con “sim- espressa come un numero reale com- spesso utilizzata per simulare questi
bolo” o con “cifra”. In molti casi infatti il preso tra 0 ed 1, in cui 0 rappresenta ultimi (per esempio nel campo delle te- LFSR=0x01;

problema della generazione di numeri l’evento impossibile, ed 1 quello cer- lecomunicazioni o dell’elaborazione dei for(i=0; i<280; i++) {
casuali, viene ricondotto alla genera- to, in una distribuzione uniforme cia- segnali). Un’altra importante caratteri-
printf(“%d: %X”, i, LFSR);
zione casuale di una sequenza di 0 e di scun elemento avrà una probabilità 1/N stica di una sequenza casuale è l’indi-
di essere selezionato, dove N è il nu- pendenza statistica dei suoi termini, NextRand();
1, da cui si possono ricavare numeri in
qualsiasi formato (interi, con segno in mero di elementi (la somma di tutte le cioè la misura di quanto l’estrazione di }
complemento a due, fixed-point, floa- probabilità deve fare 1, dal momento un numero dipende da quello o quelli
}
ting-point, ecc...) o stringhe di lun- che in un’estrazione almeno uno degli estratti precedentemente. Chiaramente
//—————————
ghezza arbitraria. È possibile caratte- elementi viene scelto di sicuro). La di- in una sequenza random ideale gli ele-
rizzare un processo aleatorio da diversi stribuzione uniforme è tipica dei pro- menti dovrebbero essere statistica- void NextRand(void)
punti di vista. Una delle caratteristiche cessi aleatori “artificiali” come lancio di mente indipendenti. Una misura del- {
più importanti è la sua distribuzione di dadi, lotterie, roulette, ed è anche la l’indipendenza statistica dei vari numeri
unsigned char temp;
probabilità. Questa fornisce informa- più utilizzata in pratica nella applica- generati è ottenibile ad esempio cal-
zioni su qual è la probabilità che ciascun zioni. Un’altra distribuzione di proba- colando l’autocorrelazione o l’entropia temp=0;
elemento dell’insieme venga selezio- bilità molto comune è quella gaussiana (di vario ordine) della sequenza. Senza if (LFSR&0x01) temp=0x8E;
nato. In molti casi si considerano e si o “normale”, dalla tipica forma a cam- entrare nei dettagli matematici, è suffi-
LFSR=LFSR>>1;
utilizzano dei processi caratterizzati da pana (figura 1b). In questo caso i valori ciente sapere che l’entropia fornisce
una distribuzione uniforme. Questo si- più piccoli (o comunque più vicini al una misura di quanto è probabile (o LFSR=LFSR^temp;

gnifica che ogni elemento ha la stessa centro della curva) hanno maggiore pro- prevedibile) trovare un numero nella se- }
probabilità degli altri di essere selezio- babilità di essere estratti rispetto a quel- quenza, o di trovarlo dopo un altro o
nato (asintoticamente, cioè se si sup- li più grandi (distanti dal centro). La di- una certa stringa di numeri estratti. Più
CLICCA QUI PER SCARICARE I LISTATI
pone di condurre un numero infinito di stribuzione gaussiana è importante l’entropia è alta, più la sequenza è im-

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


1. la qualità della distribuzione ottenu- la combinazione lineare dei bit presen-
ta è molto buona, di solito perfetta- ti in alcune posizioni. Queste posizioni
mente uniforme; particolari si chiamano “tap”, e devono
2. è possibile ottenere una sequenza essere scelte con cura, come spiegato
identica impostando lo stesso valore nella figura 2. Il funzionamento del cir-
di seme. cuito è il seguente: si imposta un valo-
Figura 3: LFSR “di Galois” ad 8 bit.  Questo risulta utile in alcune applica- re iniziale (diverso da 0), che costituisce
zioni e consente di ottenere un mag- il seme e si aggiorna il registro (facendo
prevedibile, e quindi “casuale” nel sen-
giore controllo dei programmi e degli al- scorrere i bit di una posizione) ogni vol-
so comune del termine (anche se con- Lunghezza Lfsr Posizione Dei Tap goritmi eseguiti. Esistono moltissime ta che si vuole ottenere un nuovo nu-
tiene più “informazione” secondo Shan- 4 0,1 tecniche per generare numeri pseudo- mero casuale. Il circuito funziona pra-
non). Purtroppo come vedremo in 7 0,1 casuali con distribuzioni uniformi, al- ticamente come un contatore, fornendo
pratica ottenere sequenze non preve- 8 0,2,3,4 cune di esse sono anche molto anti- sempre la stessa sequenza di valori
dibili e con una buona distribuzione è 11 0,2
che. Il problema è che la maggior parte pseudo-casuali, che inizia dal valore
abbastanza difficile. 12 0,6,8,11
di queste tecniche non si presta bene dato come seme. Dopo un certo nu-
15 0,1
ad essere implementata in software o in mero di iterazioni, la sequenza rico-
GENERARE NUMERI 16 0,1,3,12
hardware su sistemi embedded, in mincia dall’inizio: la massima lunghez-
PSEUDO-CASUALI 23 0,5
quanto richiede operazioni abbastanza za della sequenza per un LFSR di
24 0,1,2,7
Come è facile immaginare, non è pos- complesse (radici quadrate, divisioni, lunghezza n bit è 2n-1, ma questo solo
28 0,3
sibile generare delle sequenze casuali moltiplicazioni, ecc...) per l’estrazione di se i tap sono stati scelti opportuna-
31 0,3
vere utilizzando degli algoritmi deter- ogni singolo numero, e può risultare mente, altrimenti si ottengono delle se-
32 0,10,30,31
ministici (implementati via software o quindi piuttosto lenta. Invece una delle quenze più corte. I numeri ottenuti in
tramite circuiti logici), si possono al Tabella 1. tecniche più semplici, ma al tempo stes- questo modo sono apparentemente ca-
massimo generare delle sequenze so più efficienti ed utilizzate per gene- suali, ed hanno una buona distribuzio-
“pseudo-casuali”, cioè sequenze ap- rare numeri pseudo-casuali fa uso dei ne uniforme. Una particolarità (a volte
parentemente random, ma che in realtà Listato 2 
“registri a scorrimento con retroazio- utile) è che i numeri estratti non sono
sono perfettamente prevedibili, e che ne lineare” (LFSR, Linear Feedback Shift mai ripetuti prima che la sequenza ri-
NextRand
possono anche ripetersi dopo un certo Registers). Si tratta in pratica di nor- cominci, a meno di non cambiare il se-
CLRW
numero di estrazioni. Di solito in questi mali registri a scorrimento, in cui lo sta- me. Le posizioni dei tap da utilizzare
BTFSC LFSR,0
casi si parte da un valore iniziale detto to futuro è dato da una combinazione li- per ottenere la sequenza di lunghezza
MOVLW 0x8E
“seme”, che determina interamente la neare dei bit dello stato presente. La massima si possono ricavare da appo-
BCF STATUS,C
sequenza che verrà estratta di seguito. combinazione lineare è ottenuta con site tabelle, in cui sono riportati i valo-
RRF LFSR,F
In molti casi il seme è scelto con un l’operatore XOR o XNOR. La figura 2 ri da utilizzare per ogni data lunghezza
XORWF LFSR,F
meccanismo casuale esterno, e quindi mostra la struttura di un LFSR a 8 bit dell’LFSR (determinare questi valori
RETURN
la sequenza risulta relativamente im- realizzato con XOR: lo scorrimento av- analiticamente è piuttosto laborioso).
prevedibile. Questo tipo di generatori ha viene verso destra, e ad ogni aggior- In tabella 1 sono riportati alcuni valori
CLICCA QUI PER SCARICARE I LISTATI
due grossi vantaggi: namento il bit più significativo riceve comuni (nota: a volte le posizioni dei

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


tap sono numerate da 1 ad n e nel ver- correrà inizializzare il registro con il va-
so opposto rispetto a quello riportato lore del seme, e poi richiamare la fun-
qui). Una variante dello schema classi- zione di aggiornamento ogni volta che
co dell’LFSR è quello riportato in figu- occorre un nuovo numero casuale. Que-
ra 3, in cui è mostrata la struttura di un sta funzione può restituire il valore del-
“LFSR di Galois”. La differenza so- la variabile, oppure se il registro è sta-
stanziale è che gli XOR sono inseriti to dichiarato come variabile globale, Figura 4: schema per il calcolo del CRC16.
nel registro, tra un tap e la posizione non sarà necessario passarlo esplici-
successiva, e non nel percorso di fe- tamene, basterà leggerlo direttamente La funzione che aggiorna il valore del re- sualizza 280 numeri pseudo-casuali,
edback. La posizione dei tap è comun- quando serve (si risparmia qualche ac- gistro è NextRand. In essa viene testa- permettendo di osservare che dal 255-
que la stessa di prima. cesso alla memoria). Un codice di esem- to il bit meno significativo, se questo è esimo la sequenza ricomincia. Se si in-
Il vantaggio di questa seconda confi- pio è riportato nel listato 1. Il risultato uguale a 1 allora gli XOR posti a valle tende provare il programma su un si-
gurazione è che risulta più facile da im- sarà il seguente: dei tap produrranno un effetto, inoltre il stema a microcontrollore privo di un
plementare via software, perché richiede 0: 01 bit meno significativo dovrà essere ri- dispositivo di visualizzazione, è possi-
mediamente meno istruzioni, e più ve- 1: 8E portato al più significativo. bile inviare i numeri ad una UART e vi-
loce via hardware, in quanto i ritardi di 2: 47 Se l’LSB è 0 invece ci sarà un semplice sualizzarli su un PC o scriverli in me-
propagazione degli XOR non si som- 3: AD shift. Le operazioni di XOR e l’inseri-
moria e leggerli con un debugger.
mano. L’implementazione di un LFSR 4: D8 mento del bit nell’MSB sono ottenute fa-
L’implementazione in assembler del-
nella versione “di Galois” risulta al- 5: 6C cendo un XOR con 0x8E (in binario
l’LFSR è ancora più semplice ed effi-
quanto semplice, sia nella versione soft- 6: 36 10001110). Questa operazione ha l’ef-
ciente che in C.
ware in C che in assembler, sia in har- 7: 1B fetto di invertire i bit nelle posizioni cor-
Nei listati 2 e 3 sono riportati i codici
dware su CPLD, FPGA o addirittura 8: 83 rispondenti agli 1 (e quindi anche ag-
relativi ad un PIC e ad un AVR. L’im-
logica discreta. 9: CF giungere un 1 all’MSB). Va notato che la
posizione dei tre 1 del nibble meno si- plementazione hardware dell’LFSR non
Di seguito considereremo questi casi 10: E9
gnificativo è spostata di uno rispetto presenta particolari problemi e può es-
singolarmente con riferimento all’LFSR 11: FA
alla posizione dei tap perché l’XOR vie- sere fatta riproducendo il circuito di fi-
ad 8 bit di figura 3. 12: 7D
ne fatto dopo lo shift. Il programma vi- gura 2 o 3 con qualsiasi tecnologia di-
Nel caso di una codifica in C l’unico 13: B0
gitale (porte logiche discrete, CPLD,
aspetto particolare da rilevare è che la 14: 58
variabile che memorizza il contenuto … FPGA, etc.), usando un registro a scor-
Listato 3
del registro deve essere globale o di- 252: 08 rimento e degli XOR esterni, o dei flip-
NextRand: LDI TEMP,0x8E
chiarata come statica, in modo da man- 253: 04 flop D e porte XOR dopo i tap.
LSR LFSR
tenere il suo valore (lo stato del registro) 254: 02 Ci sono due cose interessanti da nota-
BRCC Fine
durante l’esecuzione del programma. 255: 01 <— re in questo caso: l’implementazione
EOR LFSR,TEMP
Inoltre la variabile dovrà essere dichia- 256: 8E avrà un’occupazione di area piccolis-
Fine RET
rata di tipo intero unsigned, in modo 257: 47 sima (in generale n Flip-Flop D + da 1 a
da evitare inserimenti di bit di segno 258: AD 3 porte XOR) e potrà funzionare a fre-
CLICCA QUI PER SCARICARE I LISTATI
nelle operazioni di scorrimento. Oc- … quenze di clock molto elevate.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Farnell - element 14

DISTRIBUZIONI GAUSSIANE sommare, perché questi non sarebbero


Se si vuole ottenere una distribuzione statisticamente indipendenti! Occorre
pseudo-casuale gaussiana invece che usare N LFSR separati (anche della
uniforme è possibile utilizzare diverse stessa lunghezza) avviati con semi ca-
tecniche. La migliore (come qualità dei suali e statisticamente indipendenti. Il li-
campioni ottenibili) consiste nell’utiliz- stato 4 riporta un frammento di codice
zo della trasformata di Box-Muller. utilizzabile per calcolare i campioni (x) di
Grazie a questa relazione matematica è una distribuzione gaussiana, dati un
infatti possibile trasformare una distri- LFSR ed un array che contiene i semi
buzione uniforme in una gaussiana. Sa- (sd[…]), che poi è usato per mantenere
rebbe sufficiente quindi applicare tale lo stato dei vari registri utilizzati (è un
relazione ai campioni generati con un metodo semplice per implementare N
LFSR. Purtroppo le equazioni relative al- LFSR usando una sola routine di ag-
la trasformata comprendono funzioni giornamento).
difficili da implementare in maniera ef-
ficiente, come seno, coseno, logarit- GENERATORI
mo e radice quadrata. La soluzione più CRITTOGRAFICAMENTE SICURI
semplice è invece quella di sfruttare il Gli approcci considerati fino ad ora so-
“Teorema del Limite Centrale”, secondo no in assoluto i più utili nelle applica-
cui (semplificando) sotto certe condi- zioni comuni, però come abbiamo visto
zioni la somma di un numero elevato le sequenze generate hanno un discre-
di variabili aleatorie statisticamente in- to livello di correlazione, e quindi sono
dipendenti, tende ad assumere una di- facilmente prevedibili. Per gli LFSR ad
stribuzione gaussiana al crescere del esempio esiste addirittura un algorit-
numero di variabili sommate (questo mo esatto per ottenere la struttura del
spiega perché molti processi naturali generatore a partire da alcuni campio-
hanno distribuzioni gaussiane…). In ba- ni raccolti (algoritmo di Berlekamp-
se a questo teorema, se utilizziamo co- Massey)! Questo rende molti genera-
me campioni la somma di N numeri tori pseudo-casuali non adatti ad essere
pseudo-casuali generati utilizzando de- impiegati in campo crittografico, dove la
gli LFSR, otteniamo una distribuzione sicurezza è fondamentale. Questa si-
che approssima quella gaussiana. Lo tuazione può essere migliorata, come
svantaggio di questo approccio è che la detto prima variando frequentemente
distribuzione ottenuta non si estende tra i semi e selezionandoli con un mecca-
-infinito e +infinito, ma solo tra 0 ed M. nismo casuale autentico (esterno al-
Va notato che non si può utilizzare un l’algoritmo). Tra gli algoritmi di gene-
solo LFSR per generare i campioni da razione pseudo-casuali ritenuti migliori

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


e spesso utilizzati nel campo della crit- Sono state proposte moltissime sorgen- Se il tempo è misurato ad una velocità In questo caso occorre utilizzare un con-
tografia meritano una citazione gli al- ti di casualità: decadimento radioattivo, di- molto più alta di quella tipica degli even- vertitore analogico digitale piuttosto pre-
goritmi Mersenne Twister, Fortuna, ISA- versi tipi di fenomeni quantistici, varia- ti tenuti sotto controllo, si può ottenere ciso (almeno 10 bit) e/o un amplificatore
AC e Blum Blum Shub (BBS). I primi zione di luminosità ambientale o di una buona distribuzione uniforme. Un esterno ad alto guadagno e accoppiato in
sono un po’ troppo complessi per es- temperatura, instabilità di oscillatori, ru- esempio di codice C per eseguire la mi- AC. Anche in questo caso una parte dei
sere spiegati dettagliatamente qui (e more termico, elettromagnetico o acu- sura è riportato nel listato 5. Se nel- bit della misura si manterrà stabile, men-
risultano piuttosto pensati da imple- stico, e perfino variazioni nella velocità di l’applicazione non sono previsti pulsan- tre uno o due dei bit meno significativi
mentare su piccoli sistemi embedded), rotazione dei dischi causate da turbolen- ti o altre forme di interazione con l’utente, potranno essere utilizzati per “assem-
l’ultimo, che peraltro è uno dei più usa- ze locali dell’aria! oppure se serve generare spesso nuovi blare” un valore casuale (eventualmente
ti, è relativamente più semplice. Esso si Per quanto incredibile comunque, quasi numeri casuali o semi, è possibile uti- da usare come seme). Quando si utilizza
basa sulla seguente relazione: xn+1 = xn nessuno di questi sistemi fornisce risultati lizzare lo stesso identico metodo, ma questa tecnica occorre prestare atten-
2 mod M in cui un nuovo numero è ot- soddisfacenti: in genere le distribuzioni di applicato alla misura del periodo di un zione al fatto che spesso le oscillazioni di
tenuto calcolando il quadrato del pre- probabilità e di potenza spettrale non so- oscillatore esterno. tensione che vengono registrate dal-
cedente, modulo M, in cui M è un nu- no uniformi (ci sono degli sbilanciamenti L’oscillatore deve avere un periodo suf- l’ADC sono causate da un sorgenti pe-
mero intero ottenuto come prodotto di a favore di certi valori), c’è un certo mar- ficientemente grande, ed una pessima riodiche! Un tipico esempio è la tensione
due numeri primi (in genere grandi). Il gine di prevedibilità, oppure la genera- stabilità (quindi avere o Q molto basso o di rete a 50Hz, che può essere indotta e
termine di partenza x0 è chiaramente il zione risulta troppo lenta. Per questo mo- meglio essere di tipo RC), quindi essere misurata facilmente su circuiti ad alta
seme. Spesso come risultato non viene tivo i valori ottenuti con questi metodi realizzato con componenti molto sensi- impedenza. Questi fenomeni possono
considerato il numero in se, ma la sua devono essere elaborati in qualche modo bili alla temperatura ed alla tensione di rendere la sequenza più prevedibile o
parità (cioè l’AND bitwise con 1), in mo- per potere essere utilizzati in pratica. alimentazione. Il fatto che il periodo deb- sbilanciare la distribuzione verso certi
do da ottenere uno stream pseudo-ca- Considereremo di seguito alcuni metodi ba essere abbastanza più grande della valori.
suale binario. semplici da implementare, e le tecniche frequenza di conteggio è dovuto al fatto
L’implementazione di questo algoritmo per correggere eventuali asimmetrie nel- che normalmente i bit più significativi MIGLIORAMENTO E CORREZIONE
non è particolarmente difficoltosa, an- le distribuzioni. della misura saranno sempre uguali, DELLE STATISTICHE
che se richiede una moltiplicazione (per mentre quelli più bassi varieranno ca- Tutti i metodi “fisici” descritti fino a qui
calcolare il quadrato) ed una divisione MISURE DI TEMPO sualmente. Il valore dovrebbe quindi es- sono affetti da qualche inconveniente
(per calcolare il modulo). Come si può inizializzare un LFSR al- sere ricavato mettendo assieme un po’ di che ne altera la distribuzione o aumenta
Forse il problema più grosso è che la l’avvio di un’applicazione, in modo che la bit bassi presi da varie misure (per esem- correlazione tra valori generati. Occorre
larghezza dei dati può essere decisa- sequenza non sia sempre la stessa? Una pio 4 misure da 2 bit). pertanto applicare qualche tipo di ela-
mente grande (in alcuni casi anche più tecnica semplicissima e abbastanza ef- borazione per migliorarne le caratteri-
di 1024bit!). ficace consiste nell’utilizzare come seme MISURE DI TENSIONE stiche.
una misura di tempo legata a qualche Un’altra fonte di casualità è rappresentata Un’elaborazione molto semplice, ed al
GENERAZIONE DI NUMERI CASUALI evento esterno. Ad esempio una tecnica dalle misure di tensioni esterne, possi- tempo stesso efficace per eliminare le
VERI molto utilizzata nel campo dei videogame bilmente soggette a variazioni ambientali asimmetrie nelle distribuzioni (bias o
Per generare dei numeri casuali veri oc- consiste nel misurare il tempo che im- o rumore (sensori di temperatura, di luce, skew), è stata proposta negli anni ‘50
corre utilizzare un processo aleatorio au- piega l’utente per premere il pulsante tensione su diodi zener polarizzati in- da John Von Neumann.
tentico esterno al programma/ circuito. che avvia la nuova partita. versamente, ecc...). Essa consiste nel considerare lo stream

10
9 FOCUS ON 14
16 SKILLS 32
33 INSIDE 37
43 TOOLS 48
53 ANALOG

4 TIPS’N TRICKS 8
7 MARKET NEWS 29
20 SPOTLIGHT 62
61 EVENTS ZAPPING ABBONAMENTO
Digikey - distribuzione componenti

binario generato, raggruppare i dati a i dati lungo il percorso di reazione. L’usci-


blocchi di due bit, ignorare le sequenze ta può essere presa ovunque, anche su
00 ed 11, ed associare a quelle 01 e 10 i più bit in parallelo (questo permette di ri-
valori 0 e 1. In questo modo si ottiene un cavare un numero casuale a più bit, for-
numero di bit inferiore, ma si può dimo- nendo in ingresso solo un bit alla vol-
strare che la distribuzione risulta per- ta). In figura 4 è mostrato lo schema
fettamente riequilibrata. Un’altra tecnica del circuito per il calcolo del CRC a 16 bit
molto comune per eliminare gli squilibri e (lo stesso usato tra l’altro in molti proto-
cancellare gli effetti di interferenze pe- colli di comunicazione). Come si può ve-
riodiche o deterministiche è quella di dere la sua struttura è quasi identica a
utilizzare una funzione di “mixing”. Que- quella degli LFSR di Galois visti in pre-
ste funzioni hanno la proprietà di “scom- cedenza (i tap non sono quelli dei normali
binare” i valori, in genere spargendoli LFSR perché la funzione originaria del cir-
su un intervallo numerico più grande. In cuito è quella di eseguire una divisione di
un certo senso gli LFSR alimentati da polinomi sul campo di Galois, non ge-
un seme casuale costituiscono una fun- nerare un conteggio pseudo-casuale).
zione di mixing, così come le funzioni di L’implementazione di questo circuito è
hash (SHA, MD5, etc.). Alcune funzioni re- identica a quella vista in precedenza,
lativamente semplici da implementare l’unica differenza è che in questo caso le
sono gli “scrambler” o le funzioni per il operazione sono su due byte. Esistono
calcolo del CRC (Cyclic Redundancy funzioni CRC con lunghezze diverse, che
Check). Queste funzioni non sono altro possono soddisfare diverse esigenze.
che degli LFSR dotati di un ingresso per codice MIP 2800164

Listato 4  Listato 5 
for(j=0; j<256; j++)
{ //…
for (i=1; i<N; i++) unisgned char cont;
{ //…
LFSR=sd[i]; // Attesa pressione pulsante
NextRand(); // (su PORTB.0, attivo alto)
x = x + LFSR; cont=0;
sd[i]=LFSR; while(PORTB&0x01) cont++;
} LFSR=cont;
x=x/N; //…

CLICCA QUI PER SCARICARE I LISTATI CLICCA QUI PER SCARICARE I LISTATI

10
9 FOCUS ON 14
16 SKILLS 32
33 INSIDE 37
43 TOOLS 48
53 ANALOG

4 TIPS’N TRICKS 8
7 MARKET NEWS 29
20 SPOTLIGHT 62
61 EVENTS ZAPPING ABBONAMENTO
embedded
FACILE!
di MANUEL SCHREINER e MARKUS VOGEL

l bus seriale universale (USB) diventa


Lo sviluppo
di un sistema I sempre più l’interfaccia standard per
sistemi embedded di qualsiasi tipo. Ol-
embedded
tre ad offrire la possibilità di connette-
con interfaccia re supporti di archiviazione portatili (es.
USB viene chiavette USB) o dispositivi di control-
sensibilmente lo (es. mouse o tastiera), uno degli usi
agevolato grazie più frequenti è la connessione al PC
che viene usata per vari scopi, ad esem-
alla libreria
pio aggiornare firmware, scambiare da-
software USB per ti di vario tipo o semplicemente impo-
i microcontroller stare parametri operativi e di controllo.
da 16 e 32 bit L’USB può essere utilizzato anche come
interfaccia di diagnostica per la manu-
di Fujitsu
tenzione, e sta sostituendo mano a ma-
Semiconductor
no interfacce seriali consolidate come la
Figura 1:
RS232, la PS/2 e perfino la Centro-
USB Assistant
nics/IEEE-1284 parallela. Questo non
(programma di
configurazione).
è dovuto solo all’aumento dei volumi
di dati da trasferire, ma anche al fatto

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


che i nuovi PC e notebook non sono cation Device Class). Il driver neces- si occupa delle funzioni base dell’USB, dor Class personalizzata. L’implemen-
più dotati di queste interfacce, ma di nu- sario viene implementato nei sistemi ad esempio procedure di log-on e log- tazione di classi standard tipiche come
merose porte USB. Tra gli altri fattori as- operativi basati su Windows e Linux. off del bus (definite anche enumerazio- HID o MSD è illustrata negli esempi.
sociati alla diffusione dell’USB segna- Tuttavia questa soluzione può essere ne) e impostazione di ‘punti finali’ per la Per imparare a utilizzare l’USB occor-
liamo l’elevato grado di correzione degli utilizzata solo in alcuni casi e, ovvia- trasmissione dati. Sulla base di queste rono tool di configurazione adatti che
errori, la funzionalità plug&play e la mente, le prestazioni del protocollo funzioni, la libreria contiene un’imple- aiutano a creare un dispositivo USB,
possibilità di alimentare i dispositivi RS232 seriale sono limitate. tra cui l’effettiva configurazione USB
mentazione campione di una USB Ven-
con una tensione stabilizzata attraver- Per poter utilizzare l’intera larghezza (descrittori USB) e il modulo di comu-
so l’interfaccia USB. Per sviluppare di banda dei dati e le diverse modalità di Figura 2: modello di riferimento nicazione che crea l’interfaccia tra l’ap-
componenti firmware adatti per l’USB il trasmissione USB, ad esempio inter- sul microcontroller. plicazione e il driver (classe USB). Il
programmatore deve avere una pro- rupt transfer, bulk transfer o isochro- ‘Fujitsu USB Assistant’, che crea tutti i
fonda conoscenza della specifica USB nous transfer, a seconda dell’applica- file necessari per l’USB come codice
e delle macro hardware implementate zione, è essenziale implementare un C, è uno di questi tool. Per rendere la
sul chip. Se non viene applicata nes- codice della classe USB intero. A que- classe USB più trasparente tra il dri-
suna classe USB standard contenuta sto proposito Fujitsu offre modelli di ver e l’applicazione utente, il configu-
nel sistema operativo quando il sistema progetto per lo sviluppo di firmware ratore, come classe USB, crea un mo-
embedded viene usato come funzione per i suoi microcontroller da 16 (serie dello per le routine di comunicazione
USB (es. collegamento slave al PC), MB96330) e 32 bit (serie MB91660/665). che viene adattato alla configurazione
occorre sviluppare un driver specifico. Tali modelli contengono una libreria che corrispondente. La classe USB si oc-
Naturalmente è necessario anche sa- cupa principalmente dei trasferimenti
pere come programmare il sistema ope- dei dati ricevuti o trasmessi come tra-
rativo del PC. La maggior parte i pro- sferimenti di blocchi “interrupt-con-
gettisti evita di affrontare questa spesa trolled” e fornisce i dati per l’applica-
e ricorre a classi standard già imple- zione utente. Infine il protocollo di
mentate (es. Human Interface Device, trasmissione viene convertito nella clas-
come mouse, tastiere e dispositivi simili) se USB e può essere controllato dal-
o a dispositivi di archiviazione di massa l’applicazione utente mediante la API,
(es. chiavette USB, hard dischi esterni, consentendo quindi all’applicazione
ecc...). Anche diversi moduli convertitori embedded di accedere facilmente al-
RS232-USB hanno riscosso un note- l’USB. Un programma campione (lista-
vole successo sul mercato ma, pur con- to 1) mostra un loopback device sem-
sentendo la connessione USB al PC, plice che riceve dati mediante il punto
convertono i dati solo nel formato stan- finale 1 e li ritrasmette attraverso il pun-
dard RS232 sul lato embedded. Sul la- to finale 2. Una volta impostato il pun-
Figura 3:
to del PC viene simulata una porta COM tatore al buffer di trasmissione/ricezio-
modello di riferimento MCU-PC .
virtuale con la classe CDC (Communi- ne e una variabile intera per la

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


lunghezza di dati, la classe USB è COM virtuale o gli HDI vengono utilizzati driver LibUsb e un tool di configura- essere considerato una connessione
inizializzata. Le relative informazioni spesso come alternativa per sviluppare zione per adattarlo. Su questa base Fu- statica. La libreria “wrapper” supporta
provenienti dai descrittori USB generate driver adatti a livello interno, sebbene in jitsu offre una libreria “wrapper” .NET anche diversi ambienti di programma-
prima con il configuratore vengono usa- questo caso i progettisti si limitino a utilizzabile per accedere al dispositivo zione .NET come Visual Studio, Bor-
te per questo; vengono impostati il ge- determinate velocità e protocolli di tra- USB dell’utente anche sul lato del PC. In land e LabVIEW. Nuove formulazioni di
store degli eventi, il buffer di dati e il ge- smissione predefiniti. La situazione di- primo luogo la libreria “wrapper” assi- LibUsbDotNet, a loro volta, aprono ap-
store delle richieste necessari. In questo venta più complessa quando si usano cura l’implementazione stabile di Li- plicazioni .NET USB a Linux e Mac OS,
caso il punto finale 1 viene inizializzato protocolli e classi proprietari, ma gli bUsbDotNet in progetti proprietari e eliminando la necessità del porting tra
come destinatario (HOST OUT) e il pun- utenti possono avere velocità più ele- una netta separazione tra il codice con sistemi diversi. Oltre alla libreria con
to finale 2 come mittente (HOST IN). vate. Fujitsu ha trovato una soluzione protezione GPL e il codice proprietario. funzione USB esaminata in questo ar-
Quindi viene avviata la libreria con fun- grazie al progetto “open source” LibU- Particolare attenzione è stata data ticolo, il tool di configurazione ‘Fujitsu
zione USB. Il parametro TRUE consen- sbDotNet. Il pacchetto comprende il all’hot plug dato che l’USB non può più USB Assistant’ supporta anche l’uso
te di utilizzare le funzioni di connes- del microcontroller come host USB (cioè
sione automatica della libreria. Nel loop master di sistema) al quale è possibile
infinito risultante vengono scritti nel Listato 1 connettere, ad esempio, un mouse o
buffer di ricezione i dati ricevuti al pun- void main(void) una chiavetta di memoria. Anche in
to finale 1. La funzione di lettura del { questo caso il tool genera i file di con-
punto finale 1 rimanda la lunghezza dei uint8_t* pu8Buffer; figurazione necessari nel codice C che
dati ricevuti che viene salvata nella va- uint32_t u32DataSize; controllano la libreria software
riabile u32DataSize. Quando viene ri- UsbClass_Init(); //Init buffers and event handlers dell’host USB compresa nei modelli
chiamata la funzione di trasmissione al UsbFunction_Initialize(TRUE); //TRUE: Autoconnect, FALSE: Manual USB. In base a questo l’USB Supervisor
punto finale 2, questo valore viene in- connect supporta anche l’implementazione di
viato come parametro insieme alle in- for(;;) diverse classi standard. Sul proprio si-
formazioni sul buffer di trasmissione. { to Fujitsu Semiconductor offre altre de-
Anche la modalità di trasmissione viene /* returns 0, if no data was received. Otherwise the received
mo e note applicative sull’USB. Con il
inviata come ulteriore parametro. In driver USB sviluppato da Thesycon, per
data length */
questo caso il processo di trasmissione i microcontroller Fujitsu sono disponibili
u32DataSize = UsbClass_GetReceivedDataEndpoint1(&pu8Buffer);
deve essere controllato mediante pol- anche altri software di terze parti (in
if (u32DataSize > 0)
ling. Tra le altre opzioni sono inclusi in- alcuni casi gratuiti). Fujitsu offre inoltre
{
terrupt interni alla MCU o DMA interni seminari di due giorni sull’host USB e
per fornire dati alla macro USB. UsbClass_SendDataVia2(pu8Buffer, u32DataSize, sul0la funzione USB per presentare ai
Ma la maggior parte dei problemi dei USB_SENDING_MODE_POLLED); clienti interessati l’USB embedded in
progettisti riguardano il computer vi- } modo semplice. Per maggiori informa-
sto che anche in questo caso occor- } zioni visitare il sito http://emea.fujit-
rono driver adatti. Questo è il motivo } su.com/semiconductor.
CLICCA QUI PER SCARICARE I LISTATI
per cui classi standard come la porta Codice MIP 2800166

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


LCD controllers su
Una breve introduzione all’utilizzo del controller LCD a bordo dei micro AT91SAM9

di MARIANO SEVERI

continui progressi tecnologici del set- TIMING VIDEO PER DISPLAY TFT.
I tore rendono oggi possibile integrare
display LCD a costi contenuti in tutte le
L’interfaccia host di un display TFT con-
siste tipicamente delle seguenti con-
applicazioni embedded. La maggior nessioni principali:
parte dei micro-controllori oggi dispo- • VSync: segnale di sincronismo verti-
nibili integrano per questo controller cale, segnala l’inizio di un nuovo frame
dedicati. Di seguito è descritto in par- HSync: segnale di sincronismo oriz-
ticolare come interfacciare un display zontale, segnala l’inizio di una nuova
LCD ai dispositivi della famiglia linea.
AT91SAM9 di Atmel. Tali microcontrollori • Enable: segnale di abilitazione, attivo
si basano su processore ARM962EJ-S, in corrispondenza di un pixel valido.
in grado di garantire capacità di calco- • Data: valore del pixel, con risoluzione
lo di oltre 300 MIPS. L’AT91SAM9M10, dipendente dal pannello LCD selezio-
ad esempio, è un microcontrollore per nato.
applicazioni multimediali che integra • Clk: segnale di clock rispetto al quale
decoder video hardware MPEG-4/H.264, sono sincroni tutti i dati ed i controlli tra-
acceleratore 2D, controller LCD, inter- sferiti al pannello stesso.
faccia per touch-screen resistivo e per La figura 1 mostra il timing dei diversi
sensore di immagini, connettività USB segnali, nel caso particolare del forma-
high-speed, Ethernet 10/100 ed SDIO. Il to 296M definito dalla specifica
dispositivo è ideale per applicazioni in EIA/CEA-861-B che copre i protocolli
ambito residenziale, sistemi di intratte- per la trasmissione di segnali DTV non
nimento, apparecchiature medicali, ter- compressi. Si è assunto che i controlli
minali point-of-safe. siano attivi alti, ovvero che il fronte di di- Figura 1: timing video per display TFT (da EIA/CEA-861-B standard).

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


scesa del segnale indichi rispettiva- rata, espressa in numero di colpi di
mente inizio di linea e di frame. Oltre al- clock Clk, del segnale di sincronismo
la risoluzione dello schermo, espressa orizzontale HSync.
in numero di pixel per linea e numero di • Vertical Back Porch (VBP): il ritardo,
linee per frame, i parametri principali espresso in numero di linee dell’imma-
indicati in figura sono: gine, tra il rilascio del controllo VSync ed
• Horizontal Back Porch (HBP): il ritardo, il trasferimento della prima linea con-
espresso in numero di colpi di clock tenente pixel validi. In altro modo, può
Clk, tra il rilascio del controllo HSync ed essere inteso come il numero di linee in-
il trasferimento del primo pixel valido. In valide ad inizio frame.
altro modo, può essere inteso come il • Horizontal Front Porch (VFP): il ritardo,
numero di pixel invalidi ad inizio linea. espresso in numero di linee dell’imma-
• Horizontal Front Porch (HFP): il ritardo, gine, tra l’ultima linea contenente pi-
espresso in numero di colpi di clock xel validi e l’asserzione del controllo
Clk, tra l’ultimo pixel valido di una linea VSync. In altro modo, può essere inteso
e l’asserzione del controllo HSync. In al- come il numero di linee invalide a fine
tro modo, può essere inteso come il frame.
numero di pixel invalidi a fine linea. • Vertical Pulse Width (VPW): la durata,
• Horizontal Pulse Width (HPW): la du- espressa in numero di linee dell’imma-

- [1] http://www.atmel.com/dyn/resources/prod_documents/doc6062.pdf
- [2] http://www.atmel.com/dyn/resources/prod_documents/doc6300.pdf
- [3] http://www.atmel.com/dyn/resources/prod_documents/AT91SAM9261-BasicLCD-IAR4_41.zip

Listato 1
bpp=24;
ifwidth=24;
dotClockFrequency = (HORIZONTAL_SIZE * VERTICAL_SIZE * FRAMERATE * bpp
) / ifwidth ;
clkval = (LCDCCLOCK / (2 * dotClockFrequency)) - 1;
pLcdc->LCDC_LCDCON1 = (clkval<<12) & AT91C_LCDC_CLKVAL;

CLICCA QUI PER SCARICARE I LISTATI


Figura 2: architettura del controller LCD dei micro AT91SAM9. 

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


gine, del segnale di sincronismo verti- di clock di una linea, calcolato come
cale VSync. somma del numero di pixel validi in es-
Alcuni display richiedono inoltre ritardi sa e dei parametri horizontal front
specifici, ancora una volta espressi in porch, horizontal back porch e hori-
numero di colpi di clock Clk, tra il rila- zontal pulse width.
scio della linea VSync (ovvero l’inizio Ad esempio, facendo riferimento alla
di un nuovo frame) e l’asserzione del se- specifica 296M citata in precedenza, il
gnale di sincronismo orizzontale HSync formato prevede un frame rate di 50 Hz
per la prima linea del frame. La fre- con risoluzione dell’immagine di
quenza del segnale di clock è eviden- 1280x720 pixel e pixel clock di 74,25
temente legata ai parametri sopra indi- MHz. I parametri VBP, VFP e VPW val-
cati ed al frame rate video. gono rispettivamente 5, 20, 5 mentre
In particolare, è data da: Clk = Fra- HBP, HFP e HPW sono invece 220, 440
me_Rate x NumLine x NumPix (1), dove: e 20 per un totale quindi di 750 linee per
NumLine = VBP + VFP + VPW + Nu- frame e 1980 colpi di clock per linea.
mActiveLine è il numero totale di linee
del frame, calcolato come somma del CONTROLLER LCD DEI
numero di linee valide e dei parametri MICROCONTROLLORI AT91SAM9
vertical front porch, vertical back porch La figura 2 mostra lo schema di prin-
e vertical pulse width. cipio dell’architettura del controller LCD
NumPix = HBP + HFP + HPW + Nu- presente nei dispositivi della serie
mActivePixel è il numero totale di colpi AT91SAM9. Il controller è in grado di

Listato 2
pLcdc->LCDC_LCDFRCFG = (VERTICAL_SIZE - 1) & AT91C_LCDC_LINEVAL |
((HORIZONTAL_SIZE ) << 21) & AT91C_LCDC_HOZVAL;
pLcdc->LCDC_TIM1 = VFP & AT91C_LCDC_VFP |
(VBP << 8) & AT91C_LCDC_VBP |
(VPW << 16) & AT91C_LCDC_VPW |
(VHDLY << 24) & AT91C_LCDC_VHDLY;
pLcdc->LCDC_TIM2 = HBP & AT91C_LCDC_HBP |
(HPW << 8) & AT91C_LCDC_HPW |
(HFP << 22) & AT91C_LCDC_HFP;

CLICCA QUI PER SCARICARE I LISTATI

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


gestire LCD di tipo STN (Super-twisted [2]. E’ fondamentale tenere presente Il controller LCD dispone di porta AHB struttura contenente l’insieme dei re-
nematic), a scansione singola o duale, a l’osservazione ivi espressa e relativa master per l’accesso da parte del DMA gistri del controller LCD. Il puntatore a
colori o monocromatici ed LCD di tipo alla rappresentazione dei pixel in me- controller alla memoria di sistema tra- tale oggetto è inizializzato per puntare
TFT (thinf-film transistor) a colori. Nel moria in formato BGR che il controller mite la matrice di switching interna per all’indirizzo base a cui il controller LCD
caso di display STN, sono supportate assume, laddove la maggior parte dei il fetch dei pixel e di interfaccia AHB è accessibile sul bus AHB. Il registro
interfacce a 4-bit a scansione singola, layer software applicativi adotta la con- slave per la configurazione dei registri di LCDCON2 consente invece di configu-
ad 8-bit a scansione singola o duale, a venzione RGB; in caso contrario i colori controllo. rare il tipo di display che si intende ge-
16-bit a scansione duale. Sono imple- sarebbe riprodotti in modo del tutto fal- stire, il parallelismo dell’interfaccia di
mentati algoritmi di dithering time-ba- sato. Come descritto nell’Application CONFIGURAZIONE connessione, il numero di bit per pixel e
sed e frame rate control per la riduzio- Note, la discrepanza può essere risolta DEL CONTROLLER LCD la polarità dei segnali di controllo. La di-
ne del flickering dell’immagine. Per i mediante swap dei byte in software od Tra questi vi sono i registri per la confi- mensione del frame è definita invece
dispositivi monocromatici sono sup- opportuna connessione delle linee di gurazione dei parametri del timing video nel registro LCDFRMCFG mentre i pa-
portate fino a 16 diverse gradazioni di uscita del microcontrollore al display generato dal controller. I principali sono: rametri VBP, VFP, VPW, HBP, HFP e
grigio con una risoluzione di 1 o 2 bit TFT. Il controller LCD dei micro della LCDCON1, LCDCON2, LCDFRCFG,
HPW si ritrovano nei registri LCDTIM1
per pixel con uso di paletta o 4 bit per serie AT91SAM9, come mostrato nella LCDTIM1, LCDTIM2. Il primo, ovvero
ed LCDTIM2. Il campo LINEVAL di
pixel in modalità senza; per i display a figura 2, consiste di due blocchi prin- LCDCON1, in particolare, consente di
LCDFRMCFG rappresenta il numero di
colori sono invece previsti fino a 4096 li- cipali: il DMA controller e l’LCD con- programmare il fattore di divisione
linee valide per frame diminuito di uno,
velli con risoluzione di 4 bit per ogni troller core. Il DMA controller gestisce la CLKVAL da applicare al clock di sistema
mentre HOZVAL il numero di pixel vali-
componenti RGB. lettura del valore dei pixel dalla memo- SySClk per la generazione del clock vi-
di per linea. Un esempio del codice sor-
Nel caso dei display TFT, sono suppor- ria del processore, mentre l’LCD con- deo LCDDOTCK; la frequenza di
gente per la configurazione dei registri
tati pannelli single-scan, con fino a 16 troller core, gestisce la generazione dei LCDDOTCK va calcolata seconda
LCDFRMCFG, LCDTIM1 ed LCDTIM2
milioni di colori (ovvero risoluzione di 24 segnali video in accordo al formato l’espressione (1) indicata in precedenza
si trova nel listato 2. Si noti come tutti
bit per pixel) ed immagini di dimensioni specificato. L’LCD controller core in- e da questo si deriva il valore di CLKVAL
fino a 2048x2048 pixel. mediante la seguente relazione: CLKVAL i parametri da impostare sono ovvia-
clude:
Nel seguito ci riferiremo per semplicità • una FIFO d’ingresso, per il buffering = round [SysClk /(2 x LCDDOTCK -1)] mente mascherati con le rispettive co-
alla sola gestione di tali display TFT. In dei dati prelevati dal DMA Controller (2). Considerata l’approssimazione ad stanti che ne determinano i valori mas-
questo caso, i segnali LCDDOTCK, dalla memoria di sistema; intero del fattore di divisione CLKVAL, è simi supportati; prima di selezionare
LCDDEN, LCDHSYNC ed LCDVSYN del • un serializer, che assembla i pixel sul- sempre opportuno ricalcolare, median- un tipo di pannello LCD è quindi op-
controller LCD riportati in figura 2 cor- la base della configurazione selezio- te la formula inversa della (2), la fre- portuno verificare che le sue specifi-
rispondono rispettivamente alle linee nata (si veda di seguito); quenza di LCDDOTCK che sarà gene- che rientrino in tali valori. Oltre alla con-
Clk,Enabke, HSync e VSync descritte in • una LUT, che implementa la paletta di rata nella pratica così da verificare che figurazione dei registri che abbiamo
precedenza. Il bus LCD[23:0] rappre- colori (ove tale modalità sia attiva); siano rispettate le tolleranze del display mostrato, l’LCD controller non richiede
senta invece il valore dei pixel. Il signi- • un modulo che implementa l’algoritmo LCD. Il listato 1 riporta un esempio alcuna altra particolare inizializzazio-
ficato delle singole linee dipende dalla di dithering (per i dettagli si veda il ma- (estratto dal progetto di riferimento [3]) ne, fatta eccezione per l’abilitazione
configurazione adottata; dettagli in me- nuale del microprocessore indicato nei del codice per la sua corretta configu- della periferica e del relativo segnale
rito si possono trovare nell’Application riferimenti al punto [1]); razione. pLcdc in questo caso è un og- di clock. Il listato 3 riporta le istruzioni
Note indicata nei riferimenti al punto • un generatore di timing video. getto di tipo AT91PS_LCDC, ovvero una relative.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Listato 3
pLcdc->LCDC_BA1 = (unsigned int)AT91C_SDRAM;
pLcdc->LCDC_FRMCFG = (VERTICAL_SIZE * HORIZONTAL_SIZE * bpp) / 32 |
((BURSTLENGTH-1) << 24);
pLcdc->LCDC_FIFO = 512 - (2*BURSTLENGTH + 3);

CLICCA QUI PER SCARICARE I LISTATI

TRASFERIMENTO DELLE IMMAGINI sume che il frame video da visualizzare


Come accennato in precedenza, il con- sia memorizzato in memoria SDRAM,
troller LCD dispone di controller DMA da dove viene anche eseguito il codice
per il fetch dei dati direttamente dalla applicativo. Nella realtà si possono ave-
memoria di sistema senza intervento re anche le configurazioni alternative
del processore (fatta eccezione, ovvia- in cui in cui il buffer è allocato in me-
mente, per la programmazione del con- moria SRAM e l’applicativo in questa
troller stesso). L’LCD controller dispone stessa o nella SDRAM o, ancora (seppur
di due registri di configurazione che meno probabile), quella in cui il buffer è
devono opportunamente essere pro- allocato in SDRAM e l’applicativo ese-
grammati: il registro DMABADDR1 ed il gue da SRAM. Nel caso in cui sia il buf-
registro DMAFRMCFG. Il primo defini- fer video che l’applicativo siano allo-
sce l’indirizzo base in memoria da cui cati nella stessa memoria, si deve tenere
iniziare a prelevare i dati. Il secondo, in- presente che l’accesso a questa è con-
vece, include i campi FRMSIZE (nume- diviso tra il DMA controller del control-
ro di word per frame) e BRSTLN (nu- ler LCD e la CPU; pertanto ci si deve as-
mero di word trasferite per ogni accesso sicurare che la banda di trasferimento
a burst alla memoria). Il numero di word dati garantita da tale memoria sia suf-
per frame dipende dalla dimensione ficiente. Per immagini ad elevata riso-
dello schermo e dal numero di bit per pi- luzione la banda occupata dal DMA
xel che è stato programmato, come controller può essere piuttosto elevata;
mostrato nel listato 3. Il registro ad esempio per immagini di risoluzione
LCDC_FIFO definisce infine la soglia di 1280x720, con 24 bit per pixel e fre-
riempimento nel buffer FIFO al di sotto quenze video di 50 Hz devono essere
della quale viene automaticamente ini- letti dalla memoria fino a circa 138 MBy-
ziato un nuovo trasferimento DMA. Nel- te/s.
l’esempio riportato nel listato 3 si as- Codice MIP 2783991

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


+Tools
IAR EMBEDDED
WORKBENCH
I PARAMETRI di MARIO ROTIGNI

L’IDE di IAR Il progressivo innalzarsi delle frequenze di lavoro in elettronica


per lo sviluppo digitale rende opportuna l’importazione di tecniche proprie
di applicazioni cin della radiofrequenza o microonde, ad esempio i Parametri S per la
i microcontrollori. descrizione delle proprietà delle interconnessioni, e più in generale, di reti passive

a maggior parte di noi ha studiato


L Elettronica e le leggi dei circuiti se-
guendo il metodo “classico”, ovvero
partendo dallo studio di semplici cir-
cuiti in corrente continua, esaminando
poi i fenomeni magnetici, semplici cir-
cuiti in corrente alternata sinusoidale e
cosi via.
In realtà sappiamo dalla fisica che le
leggi dei circuiti introdotte in tale modo
sono una serie di approssimazioni, mol-

Figura 1: numerazione raccomandata per i


Port di una generica rete. 

Figura 2: schema e Parametri-S per Rete


RC ideale. 

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


37
to utili ma valide solo quando precise te dei casi pratici ed anche nella grande
condizioni siano soddisfatte. In parti- semplificazione concettuale e mate-
colare, sono valide quando le dimen- matica che rende possibile nell’inse-
sioni fisiche degli oggetti studiati siano gnamento dell’elettromanetismo. Que-
molto più piccole della lunghezza d’on- sto però non deve farci mai dimenticare
da dei segnali elettrici coinvolti. Un la reale natura dei fenomeni elettrici
buon criterio consiste nel lavorare con nel loro complesso e la loro intrinseca
oggetti di dimensioni inferiori ad un de- caratteristica ondulatoria. L’aumentare
cimo (meglio ancora un ventesimo) del- delle frequenze operative e la costante
la lunghezza d’onda della componente riduzione dei tempi di transizione tra
di frequenza più elevata in gioco. Con- stati dei segnali logici (rise e fall time),
sideriamo ad esempio la frequenza di fanno si che frequenze sempre più ele-
distribuzione dell’energia elettrica al- vate e quindi, lunghezze d’onda sempre
ternata a 50 Hz. La corrispondente lun- più corte, siano presenti nei circuiti su
ghezza d’onda è di ben 6000 km in aria cui tecnici che si occupano di appa-
(lunghezza d’onda uguale alla velocità recchiature digitali si trovano ad ope-
della luce diviso la frequenza). Tutti i rare. Le ipotesi di fondo non reggono
circuiti che troviamo nelle nostre case più e man mano che le frequenze cre-
possono quindi essere tranquillamente scono, il comportamento dei segnali
studiati con le classiche leggi di Ohm, elettrici in termini di onde, più o meno
Kirchoff, eccetera, senza che vi sia mo- guidate, propagate in mezzi fisici as-
do di rendersi conto di errori o appros- sume un peso sempre maggiore ed evi-
simazioni. Notare che anche lavoran- Figura 3: schema e Parametri-S per Rete
do a 50 Hz, le cose cambiano se CR ideale. 
consideriamo le linee di trasmissione
dell’energia elettrica che, ad esempio
nel caso di dorsali di distribuzione, si
possono avvicinare a lunghezze critiche.
I fenomeni elettrici trovano una descri- - S-Parameters for Digital Designers di Timothy Coyle, Printed Circuit Design and FAB, May 2009 è l’articolo che ha ispirato questo Tip&Tricks
zione esaustiva ed elegante quando - Una classica introduzione ai parametri S, non solo per lo studio di reti passive è costituito dall’Application Note AN95-1 di HP (ora Agilent) reperibile
trattati secondo la loro reale natura di
qui: http://www.sss-mag.com/spara.html È fornito anche un utile tutorial interattivo.
campi ed onde. Purtroppo, tali descri-
- Il libro Advanced Signal Integrity for High-Speed Digital Design, By Stephen H. Hall and Howard L. Heck contiene una ottima trattazione dello studio di
zioni sono matematicamente comples-
se e di difficile applicazione pratica. Il reti, con ampio uso dei Parametri-S, dedicato a progettisti digitali.

curriculum di studi classici trova una - Programma RFSim99 reperibile da vari siti in rete, ad esempio http://electroschematics.com/835/rfsim99-download
valida giustificazione nella validità del- - Power Integrity di Mario Rotigni, Firmware n 57 e 58
le tecniche ottenute per la maggior par-

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


38
dente. Contemporaneamente, concetti trasmesse. L’insieme di queste ragioni pensi ad esempio a link seriali funzio-
come tensione, e corrente perdono la portano alla necessità di affiancare ai fa- nanti a molti Gbit, oramai comuni nei
Fattore (rapp.) V o I (dB) P (dB) nostri PC oppure alla distribuzione di
loro utilità nella descrizione dei feno- miliari concetti di tensione e corrente,
106 120 60 meni elettrici, ed in qualche caso anche nuovi parametri con cui descrivere le alimentazione di una scheda contenete
105 100 50 il loro significato fisico. Al crescere del- proprietà dei circuiti e dei loro compo- integrati veloci. Diventa importante ana-
le frequenze, misurare tensioni e cor- nenti in un ampio spettro di frequen- lizzare e misurare la “trasparenza” di
104 80 40
ogni elemento del canale di comunica-
103 60 30
renti diviene progressivamente più dif- za. Per l’ingegnere digitale, questo è
zione, tracce su pcb, connettori, cavi.
ficoltoso, mentre diventa sempre più di particolare interesse per la descri-
102 40 20 I Parametri-S o Parametri di Diffusione
conveniente, sebbene non semplice, zione delle reti passive costituenti le
10 20 10 (Scattering in inglese, da cui la S per
misurare parametri tipici della propa- interconnessioni di segnale ed alimen-
brevità) servono appunto a questo sco-
9 19.08485 9.542425 gazione ondosa, quali onde riflesse e tazione di circuiteria digitale veloce. Si po, tolti di peso dal mondo dell’inge-
8 18.0618 9.0309 gneria delle microonde e radiofrequenza
7 16.90196 8.45098 dove sono nati ed hanno proficuamente
6 15.56303 7.781513 lavorato fino ad oggi. Una ulteriore com-
plicazione per l’ingegnere digitale, è
5 13.9794 6.9897
costituita dalla crescente convenienza
4 12.0412 6.0206 a lavorare anche, se non prevalente-
3 9.542425 4.771213 mente, nel dominio della frequenza. Il
1,41 3 1.5 familiare grafico “da oscilloscopio”, con
il tempo sulle ascisse non rende infatti
1,12 1 0.5
evidente cosa succede alle alte fre-
1 0 0 quenze, pur avendo spesso tutta l’in-
0.891251 -1 -0.5 formazione comunque racchiusa in se.
0.707946 -3 -1.5
DEFINIZIONE E SIMBOLISMO
0.501187 -6 -3
Non fatevi scoraggiare vedendo for-
10-1 -20 -10 mule e logaritmi, la matematica richie-
10-2 -40 -20 sta è in realtà poca per i nostri scopi.
10-3 -60 -30 In estrema sintesi, i Parametri di Diffu-
sione descrivono come un segnale in-
10-4 -80 -40
cidente viene trasmesso e riflesso da
10-5 -100 -50 ogni interconnessione di una rete elet-
10-6 -120 -60 trica, rendono quindi conto del com-
portamento “ondoso” dei segnali elet-
trici, invece che basarsi su relazioni tra
Tabella 1: deciBel.  Figura 4: schema e Parametri-S per Rete LC ideale.  tensioni e correnti. Prima di esaminarli

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


39
TME - Distribuzione componenti

da vicino, abbiamo bisogno di intro- di impedenza interna pari a Z e chiuse


durre alcuni termini e concetti. In ge- su un carico pure pari a Z. La linea di
nerale, gli ingressi e le uscite di una trasmissione, o rete, ha in tal caso ri-
generica rete circuitale vengono definiti flessioni nulle e tutta la potenza fornita
‘Port’ nel mondo alta frequenza. Ogni dal generatore viene consegnata al ca-
Port è composto dal terminale “caldo”, rico, fluendo lungo una struttura ideale
il segnale che ci interessa, ed il pro- di caratteristiche elettriche e fisiche
prio segnale di ritorno, impropriamente omogenee.
definito “massa”, consiste quindi in due Vediamo ora la definizione dei Parame-
connessioni fisiche. Il Port è caratte- tri-S. Ognuno dei Parametri-S di uno
rizzato da una impedenza caratteristica specifico Port è il rapporto tra il se-
ben definita, tipicamente 50 ohm. Esem- gnale incidente ed il segnale riflesso
pio tipico di Port è il connettore coas- (o trasmesso , quando più di un port
siale. I puristi RF mi perdoneranno, ma sia coinvolto, come vedremo tra poco).
potreste in prima approssimazione leg- Tutti i segnali qui considerati sono onde
gere “connettore” per “port”. Ovvia- sinusoidali. Avremo quindi bisogno di
mente, bisogna ricordare che non sem- due parametri numerici per descriverli
pre esiste un connettore fisico in completamente: Ampiezza e Fase.
corrispondenza dei port definiti per una In generale, avremo quindi:
rete generica. Risulta spesso conve-
niente esprimere le misure in deciBel, S (Ampiezza) = Ampiezza Onda Uscita
cioè il logaritmo del rapporto tra la / Ampiezza Onda Ingresso
grandezza di interesse ed un valore di ri-
ferimento, logaritmo moltiplicato per S (Fase) = Fase Onda Uscita – Fase
20 nel caso di rapporti tra tensioni o Onda Ingresso
correnti, moltiplicato per 10 nel caso
di rapporti tra potenze: L’ordine di numerazione dei Port è im-
portante per mantenere coerenza nella
V(dB) = 20 log(V2/V1) V(dB) = rappresentazione delle reti. L’ordine
= antilog (V(dB)/20) Figura 5: schema e Parametri-S per Condensatori Reali in parallelo 100nF + 1 nF.  raccomandato è evidenziato nella fi-
I(dB) = 20 log(I2/I1) I(dB) = gura 1. Tale convenzione è facilmente
= antilog (I(dB)/20) mente catturabile dalla tabella 1. At- tori. Un altro concetto utile nel seguito, estensibile ad un numero arbitrario di in-
P(dB) = 10 log(P2/P1) P(dB) = traverso un numero compatto in decibel preso direttamente dalla teoria delle li- gressi/uscite, mantenendo tutti i Port di-
= antilog (P(dB)/10) si possono esprimere valori con una nee di trasmissione, è la condizione di spari adiacenti tra loro e tutti i Port pa-
dinamica molto ampia, cioè molto pic- massimo trasferimento di potenza. Que- ri adiacenti tra loro.
Il vantaggio nell’uso di unità di misura coli o molto grandi, senza trascinarsi sto si realizza su linee ad impedenza Il Port dispari N risulta sempre con-
logaritmiche dovrebbe essere facil- lunghi numeri con virgole o moltiplica- costante Z, alimentate da una sorgente nesso con il Port pari N+1. Ricordiamo

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


40
che ogni port ha due connessioni fisi- grafico cartesiano con le frequenze in di riflessione della rete nel dominio del- ANALISI DI INTERCONNESSIONI
che, ciascuno di essi è sostanzialmen- ascisse, rendendo ben visibile quindi la frequenza. CON L’USO DEI PARAMETRI S
te considerabile una connessione co- l’andamento del parametro considera- Insertion Loss rappresenta la porzio- Una rete “trasparente” al segnale è una
assiale. to al variare della frequenza. ne di segnale persa nel trasferimento tra struttura particolarmente desiderabile in
Due indici sono usati per identificare a Le reti composte da elementi lineari e molte applicazioni. Riconoscerne le ca-
i due Port considerati, a causa di di-
quale combinazione di Ports il Para- passivi (resistenze, condensatori, in- ratteristiche nei grafici di parametri S è
sadattamento di impedenza, effetti di ri-
metro si riferisca, quindi da ad es. S11, duttori, cavi…), il caso che ci interessa quindi importante. La trasparenza di
sonanze, accoppiamenti induttivi o ca-
S21. Il primo indice si riferisce al Port di qui, hanno le seguenti proprietà: una rete si può intendere come misura
Uscita, il secondo al Port di Ingresso. pacitivi. della qualità dell’interconnessione rea-
• S11 ed S22 sono in generale diversi
Skj = Onda uscita Port k / Onda ingres- tra loro, a meno che la rete non sia sim- I parametri S sono molto laboriosi da lizzata per mezzo di essa.
so Port j metrica. calcolare manualmente. In pratica, es- Tre caratteristiche definiscono una in-
Tale convenzione, di primo acchito non • S12 ed S21 sono sempre uguali. si sono generalmente risultato di mi- terconnessione trasparente:
intuitiva, deriva dal formalismo mate- L’insieme dei Parametri S (matrice se sura o simulazione circuitale (ad esem- • L’impedenza lungo la linea non mani-
matico connesso all’uso dei Parametri vogliamo un maggior rigore espressivo) pio SPICE). festa disadattamenti.
S, l’algebra delle matrici, di cui non descrive in modo preciso il comporta- Una importante aspetto da tener pre- • Le perdite sono basse e la maggior
avremo ulteriormente bisogno in questa mento in frequenza della rete. L’occhio sente è che, mentre nel dominio del parte del segnale è trasmessa.
sede. allenato riconosce le proprietà fonda- • Gli accoppiamenti con tracce adia-
tempo osserviamo i valori istantanei
Senza alcun riferimento alla struttura mentali della rete dall’andamento dei centi sono trascurabili.
delle tensioni riflesse o trasmesse, la ri-
interna della rete sotto analisi, la ri- grafici. Vediamone qualche aspetto ap- S11 Return Loss di una connessione
sposta della rete in termini di Parametri
sposta ad un segnale entrante nel Port plicativo, considerando per semplicità trasparente (ideale)
1 ed uscente (riflessa) dal Port 1 verrà S nel dominio della frequenza rappre- S11 = Onda uscita Port 1/Onda ingres-
espressiva ancora la rete con due port.
definito S11. La risposta ad un segnale senta uno stato stabile, la risposta com- so Port 1
entrante nel Port 1 ed uscente dal Port SIGNIFICATO DEI PARAMETRI-S plessiva a transitori esauriti. Quando l’impedenza della connessione
2 verrà definito S21 e cosi via. Per ragioni storiche, il modulo del Pa- Ogni corrispondenza con l’intima strut- è prossima a quella dei Port, la rifles-
Il valore numerico di un parametro S è rametro S di riflessione, S11, è chia- tura fisica della rete o interconnessione sione verso la sorgente tende a 0 (per il
sempre compreso tra 0 ed 1, per defi- mato “Return loss” ed il modulo del viene persa nei parametri S, mentre ad teorema della massima trasmissione di
nizione, trattandosi di un rapporto. Co- parametro di trasmissione S21 è chia- esempio nell’analisi di riflessioni nel potenza) e Return Loss sarà quindi ten-
me anticipato, il valore è spesso espres- mato “Insertion Loss”. Queste defini- dominio del tempo (Time Domain Re- dente al valore numerico 0 (numero po-
so in dB: zioni sono ancora convenienti e di uso sitivo molto minore di 1) e praticamen-
flection, TDR) è possibile determinare in
SdB = 20 * log (valore di S) comune. Return Loss, rapporto tra on- te rappresentato in dB da un grande
quale punto fisico della linea di tra-
Per ogni frequenza, il singolo parametro da riflessa e onda incidente dallo stes- valore negativo.
smissione si sia generata una riflessio-
S avrà in generale un valore diverso. Il so port, rappresenta la porzione di se- Il valore in dB viene limitato ad un nu-
gnale riflessa verso la sorgente a causa ne. Uno dei parametri da rispettare nel- mero finito dal rumore di fondo della
parametro è inoltre un numero com-
plesso, quindi può essere rappresentato di discontinuità dell’impedenza della la realizzazione di interfacce seriali strumentazione o delle caratteristiche
come modulo ed angolo o come parte rete sotto esame (ad esempio traccia di veloci è proprio un valore massimo di in- dell’ambiente di simulazione, pratica-
reale più parte immaginaria. L’insieme un pcb con larghezza variabile). sertion loss. Saper leggerne il grafico di- mente si ottengono valori prossimi a -
dei valori può essere presentato su un Si può considerare come il coefficiente viene quindi indispensabile. 100dB.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


41
Figura 6: i • Trasferimenti verso tracce vicine (Ac- PARAMETRI S
parametri S coppiamenti). PER ALCUNE SEMPLICI RETI
possono anche • Riflessioni verso la sorgente Affrontando oggi un nuovo argomento
essere misurati Nella maggior parte dei casi, l’impatto tecnico, l’approccio ideale vedrebbe
con appositi
delle Emissioni Radiate su S21 è tra- simulazioni e misure di laboratorio a
strumenti.
scurabile a causa della piccola quanti- conferma delle stesse, affiancare l’in-
tà di energia in gioco. dispensabile studio in classe o su testi.
Le perdite di potenza dovute a riso- Purtroppo il costo dei software di si-
nanze o accoppiamenti sono eviden- mulazione professionali e della stru-
ziate nei grafici dei parametri S come mentazione necessaria a supporto del-
picchi negativi in corrispondenza dei l’argomento qui trattato rendono tale
campi di frequenza in cui i fenomeni strada impraticabile in molti casi. Non
sono significative. Perdite proporzio- tutti i programmi di simulazione SPICE
nali alla frequenza sono invece eviden- disponibili gratuitamente supportano
ziate da una curva discendente. Quan-
l’uso di Parametri-S. È però usabile a ta-
do le altre cause siano state rimosse
le scopo RFsim99, un programma free in
come risultato di una accurata proget-
circolazione da vari anni ma ancora
tazione, S21 è una misura diretta del-
Tanto maggiore diventa il disadatta- porzione di segnale non trasferita, tan- molto valido (per la reperibilità vedere
l’attenuazione della linea dovuta alle
mento di impedenza, tanto più Return to più grande è il modulo di S21 rap- rif. 4).
perdite nel dielettrico e nel conduttore.
Loss tende ad assumere valore 1 (0 presentante la perdita di inserzione. Con tale programma, può essere con-
Misurato in dB, vediamo un valore ne-
dB), corrispondente a 100% di rifles- Notiamo che S21 è rappresentato da dotto lo studio di alcune reti elementa-
gativo che aumenta in modulo (diviene
sione (caso per cui si ha S11 = 1). un numero negativo, decrescente al ri e reti di cui sia nota la risposta da libri
più negativo) proporzionalmente alla
crescere della porzione di segnale per- frequenza. Per semplicità e per meglio di testo o articoli, al fine di familiarizzare
S21 INSERTION LOSS con i parametri S. Alcuni esempi sono
sa. Parlando di “grande perdita di in- familiarizzare con il concetto, possiamo
DI UNA CONNESSIONE presentati nelle figure 2, 3, 4, 5. Come
serzione” indichiamo quindi un numero considerare S21 come una sorta di Dia-
TRASPARENTE (IDEALE) gramma di Bode della nostra intercon- sempre nelle simulazioni, ma in questo
negativo di decibel con modulo grande,
S21 = Onda uscita Port 2 / Onda in- nessione o rete. Noti i Parametri S, è caso in particolare, l’accuratezza della
ad esempio -60dB.
gresso Port 1 possibile calcolare le familiari impe- modellizazione determina l’accuratezza
Il modulo di Insertion Loss è una misu-
Tanto migliore è l’interconnessione denze in funzione della frequenza, se della risposta ottenuta dal simulatore.
(adattata in impedenza e basse perdite), ra dell’energia dispersa che non rag-
desiderato o conveniente. Le formule Ad esempio in figura 2, dobbiamo es-
tanto più segnale verrà trasmesso al giunge il Port di uscita. sere ben consapevoli che stiamo con-
necessarie sono riportate in Rif. 3. Na-
Port di uscita, tanto più Insertion Loss L’energia non trasmessa in uscita può siderando componenti ideali, trascu-
turalmente è necessario il supporto di
sarà prossimo al valore 1 (0 dB). essere dispersa come: un qualche programma di calcolo, es- rando, tra l’altro, la resistenza e
Abbiamo S21 = 1 nel caso ideale linea di • Emissioni Radiate sendo impensabile calcolare manual- l’induttanza parassite del condensato-
trasmissione 50 ohm uniforme connes- • Perdite trasformate in calore nei con- mente la conversione S verso Z per re o l’induttanza parassita del resistore.
sa a Ports 50 ohm. Tanto maggiore è la duttori e nel dielettrico. ogni valore di frequenza. Codice MIP 2800168

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


42
+Tools
I PARAMETRI S
I parametri S
sono utilizzati
Embedded
per la descrizione
del comportamento
in frequenza
Workbench di MAURIZIO DEL CORSO

delle reti passive. Embedded Workbench è l’IDE di IAR per lo sviluppo di applicazioni con microcontrollori di svariate case madri.
Eccone una descrizione dettagliata con riferimento al microcontrollore Texas Instruments MSP430

AR Embedded Workbench per ARM è


I un ambiente di sviluppo integrato
(IDE) progettato per consentire lo svi-
luppo ed il debug di applicazioni em-
bedded. Per ogni specifica famiglia
di microcontrollori esiste una specifi-
ca versione del software che include
generalmente un compilatore C/C++,
un IDE espandibile che mette a di-
sposizione dello sviluppatore un pro-
ject manager in grado di gestire pro-
getti gerarchici e la possibilità di
integrazione con strumenti per il con-
trollo di configurazione del codice sor-
gente. In questo articolo presentiamo
un tutorial introduttivo sull’uso di Em-
bedded Workbench con riferimento ai
microcontrollori MSP430.

Figura 1: la finestra delle opzioni


di progetto. 

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


CREAZIONE (figura 3). I parametri da impostare so-
DI UN NUOVO PROGETTO no i seguenti (gli altri vanno lasciati ai
Il primo passo è ovviamente la crea- valori di default):
zione di un nuovo progetto selezionan-
do New dal menu File, quindi la voce Code Generation:
“Project” nella finestra che appare e Enable language extensions
confermando con il tasto OK. A que- Type checking:
sto punto appare la finestra delle op- Global strict type checking
zioni del progetto (figura 1) in cui si Flag old-style functions
dovrà selezionare la CPU target (nel Optimization:
nostro caso MSP430) ed il nome del fi- Speed, Medium
le di progetto, confermando con il pul- Debug:
Generate debug information
sante “Create”. Nella nuova finestra di
List:
progetto che vi viene mostrata, sele-
List file
zionate la voce “Debug” selezionando- Figura 2:
impostazione
Insert mnemonics
la eventualmente dall’elenco a discesa,
quindi selezionate Option dal menu Pro- del Processor
Configuration. COMPILAZIONE
ject e assicuratevi che l’opzione Pro-
Selezionate il file tutor.c nella Project
cessor Configuration sia impostata a -v0
Window qindi scegliete Compile dal
come mostrato in figura 2. Conferma- Per convenienza il contenuto del file gno “+” nella finestra di progetto potrete
menu Project per avviare la compila-
te i valori con il tasto OK. tutor.c è riportato nel listato 1. Per ag- vedere i due file sotto il gruppo Com-
zione. Si aprirà automaticamente una fi-
giungere i file .C al progetto selezio- mon Sources.
nestra di messaggi per informarvi sul-
I FILE SORGENTE nare la voce File dal menu Project, sfo-
l’avanzamento e gli eventuali errori di
Per questo tutorial faremo riferimento ai gliate le cartelle fino a trovare il file OPZIONI DI COMPILAZIONE
compilazione. E’ possibile specificare
file sorgente tutor.c, common.c, tutor.h tutor.c quindi selezionatelo e aggiun- E’ ora il momento di impostare le op-
quante e quali informazioni visualizza-
e common.h forniti con il pacchetto di getelo al progetto con il pulsante “Add”. zioni di compilazione per il progetto.
re nella finestra dei messaggi dal menu
La stessa operazione dovrà essere ri- Selezionate l’icona Debug nella fine-
installazione del software. Questo pro- Option->Settings->Make Control. Pro-
stra di progetto quindi selezionate
gramma inizializza un array con i primi petuta per il file common.c. Conferma- cedete in modo analogo per compilare
ICC430 nella lista delle categorie per
dieci numeri della serie di Fibonacci e te infine l’aggiunta dei due file con il il file common.c. Terminata la compi-
accedere alle opzioni del compilatore
stampa i valori nella finestra terminale. pulsante “Done”. Ora cliccando sul se- lazione, IAR EW avrà creato una car-
tella DEBUG contenente tre nuove sot-
tocartelle:
• LIST (contiene i file di estensione lst)
- Pagina ufficiale IAR Embedded Workbench: http://www.iar.com/website1/1.0.1.0/50/1/
• OBJ (contiene i file oggetto con esten-
sione r43. Nei file oggetto viene riportato
- Video di presentazione di IAR Embedded Workbench: http://www.iar.com/website1/1.0.1.0/1432/1
anche il codice assembler e tali file ser-

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


viranno come input al linker) \List\ All’intestazione segue poi il corpo del \ 0016 B0120000 CALL #init_fib
• EXE (contiene i file eseguibili con # -q -t8 -IC:\IAR\ew23\430\inc\ file che contiene il codice assembler, \ 001A ?0001:
estensione d43 che verranno usati come e il codice binario relativo ad ogni 29 while ( call_count < MAX_FIB
# C:\IAR\ew23\430\tutor\tutor.c
input per la fase di debug). comando C: )
Vediamo come è strutturato il file LST e # \ 001A B2900A00 CMP
quali informazioni contiene. Aprite il fi- # Copyright yyyy IAR Systems. 25 void main(void) #10,&call_count
le tutor.lst dal menu File->Open per vi- All rights reserved.# 26 { \ 001E 0000
sualizzarne il contenuto. Tale file con- 27 call_count = 0; \ 0020 0334 JGE (?0000)
###############################
tiene una intestazione che riporta i dati \ 0012 82430000 MOV 30 do_foreground_process();
del progetto, la data e ora della compi- ############################### #0,&call_count \ 0022 B0120000 CALL
lazione e le opzioni di compilazione uti- ########### 28 init_fib(); #do_foreground_process
lizzate: 31 }
\ 0026 F93F JMP (?0001)
Listato 1 
############################### \ 0028 ?0000:
############################### \ 0028 3041 RET
#include “tutor.h”
############ 32
/* Global call counter */
# \ 0000 RSEG UDATA0
int call_count;
# IAR MSP430 C-Compiler
/* Get and print next Fibonacci number. */
VX.xxx/WIN La parte finale del file riporta l’esito
void do_foreground_process(void)
# della compilazione e l’ammontare di
# Compile time = dd/Mmm/yyyy { memoria richiesta per allocare il codice
hh:mm:ss unsigned int fib; generato:
# Target option = SP430x31x next_counter();
# Memory model = small fib = get_fib( call_count ); Errors: none
# Source file = put_fib( fib ); Warnings: none
c:\iar\ew23\430\tutor\tutor.c } Code size: 42
# List file = /* Main program. Prints the Fibonacci numbers. */ Constant size: 0
c:\iar\ew23\430\projects\debug\ void main(void) Static variable size: 2
list\tutor.lst {
# Object file = call_count = 0; LINKER
c:\iar\ew23\430\projects\debug\ init_fib(); Prima di procedure al link dei vari file
obj\tutor.r43 while ( call_count < MAX_FIB ) oggetto, è necessario impostare le op-
# Command line = - do_foreground_process(); zioni del linker selezionando la cartella
OC:\IAR\ew23\430\projects\Debug } Debug nella finestra di progetto, quindi
\Obj\ -e -gA -s6# scegliere Options dal menu Project.
# -RCODE -r0 - CLICCA QUI PER SCARICARE I LISTATI Selezionale XLINK dalla lista delle ca-
LC:\IAR\ew23\430\projects\Debug tegorie di sinistra (figura 4) ed impo-

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


state le seguenti opzioni: messaggi vi terrà informati sull’avan- il file eseguibile selezionato (pro- mando successivo che comunque non
zamento e l’esito dell’operazione. Alla fi- ject1.d43). All’avvio la finestra C-SPY verrà eseguito fino a che non darete
Output: ne dell’operazione otterrete il file pro- mostrerà il sorgente del programma ed voi il successivo comando di step.
Debug info with terminal I/O ject1.d43 (che servirà per il debug) ed il evidenzierà il primo comando che sarà Qualora il successivo comando sia una
List: file project1.map. eseguito (figura 5). funzione, se avanzate con Step verrà
Generate linker listing Quest’ultimo contiene la informazioni Le istruzioni assembler sono sempre
eseguita la funzione e il programma si
Segment map circa l’allocazione dei comandi e delle disponibili e possono essere visualiz-
posizionerà all’istruzione successiva
Module map variabili nella memoria del microcon- zate mediante la voce Toggle Sour-
alla funzione. Se volete eseguire passo-
Include: trollore. ce/Disassembly dal menu View.
Override default: lnk430.xcl Procedete con l’esecuzione del primo passo anche i comandi interni alla fun-
DEBUG DEL PROGRAMMA comando del programma usando la vo- zione dovrete avanzare con Step Into. E’
L’operazione di link vero e proprio dei fi- Per avviare l’avvio della fase di debug ce Step dal menu Execute o cliccando possibile inoltre effettuare un multi-
le oggetto si avvia con la voce Link dal selezionare la voce Debugger dal menu sulla relativa icona: vedrete che nella fi- step per l’esecuzione di un numero pre-
menu Project. Al solito, la finestra dei Project che aprirà la finestra C-SPY per nestra C-SPY verrà evidenziato il co- definito di comandi ad ogni step.

Figura 3: le Figura 4:
opzioni del le opzioni
compilatore. del linker.
 

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Figura 5: la finestra C-SPY all’avvio. Figura 6: un breakpoint impostato sul comando di incremento della variabile i nel ciclo for.

MONITORARE LE VARIABILI ad ogni step dalla variabile o dalla fun- o con un nuovo comando Go. In que- vo (figura 6). Per rimuovere un break-
C-SPY consente di inserire dei wat- zione da voi selezionata. st’ultimo caso l’esecuzione riprende fi- point precedentemente impostato, se-
chpoint su variabili o espressioni in C no alla fine del programma o fino al lezionatelo e cliccate nuovamente su
per poterne monitorare costantemente IMPOSTAZIONE DEI BREAKPOINT breakpoint successivo eventualmente Toggle Breakpoint nel menu Control.
il valore durante tutta l’esecuzione del L’uso dei breakpoint permette di ese- impostato. L’impostazione di un bre-
akpoint è piuttosto semplice:
programma. Selezionare Watch dal me- guire automaticamente il programma CONCLUSIONI
• selezionate nel sorgente il punto del
nu Window per aprire la finestra di vi- senza interruzioni fino ad un punto ben In questo articolo è stato mostrato come
programma in cui volete inserire il bre-
sualizzazione dei valori. Nella finestra preciso. Avviando il programma con il nuovere i primi passi in questo potentis-
akpoint;
del file sorgente selezionate la varia- comando Go dal menu Execute, quan- • cliccare su Toggle Breakpoint nel me- simo ambiente di sviluppo. Per appro-
bile o la finzione che volete monitorare do si raggiunge un breakpoint l’esecu- nu Control. fondimenti si rimanda al manuale e alla
e trascinatela nella finestra di visualiz- zione si arresta e il sistema resta in at- La presenza del breakpoint viene se- guida del programma (accessibile dal-
zazione. Procedendo con l’esecuzione tesa di input dall’utente: si può gnalata grazie ad una evidenziazione l’apposito menu o mediante il tasto F1).
del programma vedrete i valori assunti procedere con uno Step, uno Step Into del comando su cui il breakpoint è atti- Codice MIP 2800170

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


+Analog
PROGETTAZIONI PILOTARLI A CORRENTE COSTANTE
SECONDO
NORMATIVE
di LUCA STANZANI uando si utilizzano il LED bianchi la seconda è ottenere un risultato di
Per ottenere
la certificazione
Q solitamente è per realizzare una luminosità e colore prevedibile e ripe-
tibile per ogni LED.
In questo articolo si parlerà retroilluminazione o una qualsiasi forma
di un prodotto di illuminazione generica. Le ragioni
di LED bianchi, del perché LED BIANCHI IN TEST
è necessario per cui risulta conveniente pilotarli a
pilotarli a corrente Sono stati presi tre LED bianchi di un
progettarlo corrente costante sono essenzialmen- fornitore e tre LED bianchi di un altro e
costante e si metteranno
secondo te due. La prima è evitare di superare la per tutti è stata tracciata la caratteristica
specifiche a confronto sei diversi LED
massima corrente consentita per il LED, corrente-tensione. Il risultato è visibile
normative. di due marchi. L’obbiettivo
Vediamo quali. e capire pregi e difetti
dei circuiti di pilotaggio
dei LED

Figura 1: corrente diretta in funzione della tensione diretta applicata al LED bianco. 

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


in figura 1. Pilotando i LED con una
tensione di 3.4V si può vedere che la
corrente varia da 10mA a 44mA a se-
conda del LED. Per la robustezza del si-
stema è importante non violare il vincolo
sulla corrente massima per LED, soli-
tamente intorno ai 30mA massimi. Tut-
tavia se la temperatura ambiente sale la
corrente massima diminuisce come mo-
strato dalla caratteristica di figura 2. Se
si limita la corrente massima a 20mA
allora è possibile utilizzare l’applica-
zione fino ad una temperatura di 50°C
senza rischiare che qualche LED si bru- Figura 2: corrente massima consentita
ci. È immediato desumere da quanto per il LED in funzione della temperatura
detto sinora che pilotare i LED a ten- ambiente.

sione costante non risulta essere una una tensione costante e la regolazione
buona soluzione anche perché tipica- di corrente è eseguita attraverso le re-
mente il processo produttivo dei LED sistenze. Il circuito B genera una cor-
che prevede una verifica di luminosità rente costante ripartita con le resisten-
e colore è realizzata con corrente co- ze per ogni LED. Il circuito C è un
stante, come si può intuire da qual- regolatore di corrente multiplo mente il
siasi datasheet. circuito D è ancora un regolatore di
corrente ma con i LED in serie. Per
QUATTO CIRCUITI PER TRE LED ognuno dei circuit di figura 3 andia-
In figura 3 sono presentati quattro di- mo graficamente sulla caratteristica
versi circuiti che consentono di pilota- corrente tensione in diretta a vedere
re tre LED bianchi. Il circuito A genera come viene eseguita la regolazione, per

Figura 3:
- Maxim Integrated Products, application note 3256: http://www.maxim-ic.com/an3256 quatto
- Maxim Integrated Products, MAX1561: circuiti
diversi per
http://www.maxim-ic.com/datasheet/index.mvp/id/3719
pilotare tre
- http://it.wikipedia.org/wiki/LED LED bianchi.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Farnell - distribuzione componenti

Figura 4: punto di lavoro


per il circuito di figura 3A
con i sei LED bianchi
esaminati.

stabilire il punto di funzionamento. Il della Maxim Integrated Products. Il cir-


circuito in figura 3 A è molto semplice cuito proposto si basa sul principio che
ed estremamente diffuso, il suo prin- tra LED e LED non ci siano differenze ri-
cipale pregio infatti è quello di essere levanti, almeno tra lotti ravvicinati. Le
realizzabile utilizzando un gran numero resistenze in tal caso possono essere di
di regolatori disponibili in commercio. valore basso, riducendo così la poten-
Lo svantaggio principale è legato alla za dissipata. Dal grafico di figura 5 si
sua efficienza, infatti parte della po- vede che la corrente per i sei esem-
tenza viene dissipata sulle resistenze e plari sotto esame varia da 15.4 a
la corrente nei LED non è controllata 19.6mA con il marchio A che presenta
in maniera accurata. Dalla figura 4, re- comunque valori ravvicinati rispetto al
lativa allo schema di figura 3 A si vede marchio B. Tutti e 6 i LED sono attra-
che la corrente può variare da 14.2mA a versati da una corrente di 17.5mA/LED.
18.4mA e che i LED di marca A lavorano Lo svantaggio di questo circuito è che
mediamente con 2mA di corrente in più rimane comunque una percentuale di
dei LED di marca B, risultando quindi potenza dissipata sulle resistenze e
più luminosi. Il circuito di figura 3B re- che le correnti nei vari rami non sono
gola la corrente complessiva che circola mai perfettamente identiche. In ogni
nei 3 LED, le resistenze servono per di- caso il circuito è un buon compromes-
stribuirla equamente. Come regolatore so tra performance e semplicità. Il cir-
si può utilizzare per esempio il MAX1910 cuito di figura 3 C regola la corrente in-

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Figura 5: punto di sto però maggiore dei precedenti. Il cir-
lavoro per il cuito di figura 3D e il classico circuito
circuito di figura boost ad alta efficienza basato su in-
3B con i sei LED
duttore, configurato per regolare la cor-
bianchi
rente. La bassa tensione di feedback
esaminati. 
minimizza la potenza dissipata nella re-
sistenza di sense. Il punto di lavoro per
il circuito di figura 3 D è lo stesso di fi-
gura 6 ottenuto per il circuito di figura
Figura 6: punto di
3 C. Poiché i LED sono in serie la cor-
lavoro per il
rente tra loro è esattamente identica in
circuito di figura
3C e 3D con i sei tutte le condizioni. La precisione della
LED bianchi corrente è determinata dal circuito di fe-
esaminati. edback e risulta indipendente dalle dif-
ferenze sulle tensioni di forward dei
dividualmente per ogni LED e non ri-
LED. Il MAX1848 e il MAX1561 sono
chiede resistenze. Il valore di corrente
esempi di regolatori di questo tipo e
su ogni ramo e la ripetibilità dello stes-
garantiscono un 87% (3 LED) e un 84%
so su tutti i rami è garantito dal regola-
(6 LED) di efficienza rispettivamente. I
tore, il MAX1570 sempre della Maxim In-
collegamenti tra regolatore e LED pre-
tegrated Products è un tipico esempio
vedono solo due connessioni e questo
di prodotto di questa categoria. Il
rappresenta un vantaggio. Lo svantag-
MAX1570 ha una accuratezza sul va-
gio è rappresentato dalle dimensioni
lore di corrente del 2% e un accura-
tezza sulla ripetibilità del valore del dell’induttore per il regolatore boost,
0.3%. Poiché la regolazione di corrente dal costo e dalle EMI irradiate. In figu-
è a low dropout, l’efficienza raggiunge ra 7 un circuito realizzato con il
valori elevati. In figura 6 si ha il punto di MAX1561, la corrente nei LED si può
lavoro per i nostri LED quando sono determinare dalla formula
percorsi da una corrente costante a ILED = VCTRL/ (10xRSENSE)
17.5mA. Il circuito risulta più compatto Dove VCTRL varia da 0.24V a 1.62V. At-
poiché non sono necessarie le resi- traverso il pin di controllo del MAX1561
stenze, tuttavia tra il regolatore e i LED è possibile con una forma d’onda PWM
sono necessari quatto collegamenti, regolare la luminosità dei LED, una va-
con un conseguente aumento delle di- riazione di duty cycle determina una
mensioni del regolatore. Questo circui- variazione dell’intensità.
Figura 7: schema funzionale del MAX1561. to garantisce alte prestazioni ad un co- Codice MIP 2783969

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


+ Analog PROGETTAZIONI SECONDO
LED BIANCHI:
PILOTARLI
A CORRENTE
PRIMA PARTE affermazione di svariate nuove tec- no profondamente cambiato il lavoro
COSTANTE
L’obbiettivo
L’ nologie, considerazioni economi- del progettista elettronico. Lo scopo di
questo articolo è quello di introdurre
di PAOLO NARCISI che sempre più stringenti e tempi di
di questo articolo
sviluppo ridottissimi per un mercato un ulteriore importante agente: il ri-
è far capire pregi Affinché un sistema spetto delle normative necessarie per
che procede a velocità vertiginose han-
e difetti dei circuiti elettronico sia certificato,
di pilotaggio è necessario il rispetto,
dei LED e perché in fase di progettazione,
sia utile pilotarli di numerose normative.
a corrente costante.
Ecco un esempio pratico
di progettazione
per un sistema
di alimentazione per LED

Figura 1: convertitore step-down non isolato e con isolamento mediante trasformatore. 

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


CONSIDERAZIONI GENERALI della luce emessa. La potenza preferita
L’esempio di applicazione che sarà pre- per ogni elemento è di solito 1 W, corri-
sentato è un convertitore per alimenta- spondente ad una corrente nominale pa-
zione di LED. L’enorme popolarità dei ri a circa 350 mA. Sebbene in commercio
dispositivi allo stato solido per illumina- esistano anche LED di potenza decisa-
zione è ormai un fatto accertato e, a pa- mente maggiore, l’utilizzo di questi ultimi
rere di molti, incontrovertibile. Tale stato è per il momento confinato al settore
di cose ha stimolato i costruttori a im- automotive e illuminazione stradale do-
mettere sul mercato nuovi e più potenti ve sono necessarie emissioni con fasci
dispositivi in grado di competere, anche concentrati di notevole potenza. Il pro-
nel settore “interiors design”, con le più gettista elettronico si è trovato quindi
classiche sorgenti d’illuminazione (lam- nella necessità di approntare convertitori
pade alogene, tubi fluorescenti, ecc...). CA - CC in grado di alimentare piccole
Come è noto, uno dei pochi svantaggi del potenze, di solito non superiori a 30 W,
LED è quello di offrire una sorgente ma che devono rispondere a 4 requisiti
d’emissione quasi puntiforme, con un importanti:
piano d’irradiazione completamente ri- • Controllo del carico a corrente co-
volto verso la parte anteriore. Questa stante con possibilità per l’utente di
prerogativa ha orientato i progettisti di variare il numero dei LED, questi ultimi
oggetti per il lighting ad usare più sor- posti in serie fra loro.
genti sullo stesso piano, eventualmente • Massima efficienza.
Figura 2: schema a blocchi di un convertitore PWM flyback controllato in corrente.  schermate da opportuni opalini, in grado • Requisiti di sicurezza e di isolamento
di fornire un certo effetto di diffusione conformi al mercato civile.
l’ottenimento delle certificazioni. E’ am- grado di certificare l’adeguamento alle
piamente noto, infatti, che qualunque normative interessate. L’importanza di
apparecchiatura elettronica destinata ad queste ultime, considerati i tempi ed i
essere immessa sul mercato deve ri- costi di questa ulteriore procedura, sta di- Tipologia apparecchiatura Massima corrente di dispersione
spondere, oltre alle caratteristiche di- ventando fondamentale nel processo di
chiarate, anche a requisiti imposti da sviluppo di un’apparecchiatura elettro- Apparecchi mobili 0,75 mA
determinati standard. Tali imposizioni, nica. Esula dagli scopi delle seguenti Apparecchi motorizzati fissi 3,5 mA
necessarie per motivi di sicurezza, in- pagine dare una trattazione completa Apparecchi termici fissi 5 mA
quinamento elettromagnetico e altro an- anche di un solo settore dell’immenso im- Apparecchi con classe di protezione II 0,25 mA
cora, non possono risolversi con semplici pianto normativo che concerne la pro-
Apparecchi coperti 5 mA
autocertificazioni o indicazioni generi- gettazione, nondimeno è mia intenzione
Altre apparecchiature 3,5 mA
che. Di fatto occorre sottoporre l’appa- fornire un punto di partenza per un ap-
recchiatura stessa a ben precise prove e proccio progettuale diverso e sicura-
Tabella 1: valori massimi corrente di dispersione verso terra. 
test, eseguite presso appositi Enti, in mente più attuale.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Figura 3: l’utente. Tuttavia questa soluzione, sep- convertitore vero e proprio, general-
schematizzazione delle pure praticata da molti brand per pro- mente un altro 12%. Una volta accettato
maglie d’ingresso e dotti economici, impedisce l’uso del l’isolamento a mezzo trasformatore fun-
d’uscita di un flyback convertitore come oggetto “stand alo- zionante con frequenze di commuta-
converter. 
ne” ed impone a priori il numero dei zione di 50 ÷ 80 KHz, occorre scegliere
LED. Inoltre, le specifiche relative alla si- la tipologia del circuito. La scelta è ri-
normative che devono curezza richiedono, in tale caso, con- caduta su una configurazione flyback di
essere soddisfatte per tenitori “che non possono essere aper- tipo semplice (non risonante e non in-
la successiva commer- ti in seguito ad atti vandalici o terlacciato). I motivi sono sostanzial-
cializzazione del pro- manomissioni”. Il risultato di ciò è che il mente i seguenti:
dotto. progettista è tenuto a particolari sforzi, • Per le potenze interessate (nel no-
PERCHÉ FLYBACK? anche di ordine economico, per garan- stro esempio circa 15 W) è la soluzione
Nell’impostazione del tire queste prerogative. Torniamo quin- più semplice ed economica.
progetto dobbiamo su- di alla figura 1 e chiediamoci perché • Esiste una vasta gamma di controller
bito scartare, anche a non usare un trasformatore a 50 Hz. Le PWM monolitici, molti dei quali aventi
fronte della loro econo- motivazioni sono sostanzialmente due. prezzi interessanti ed ampia versatilità
mia circuitale, soluzioni La prima riguarda le sue dimensioni: d’impiego.
del tipo step-down a controllo di cor- circa 2,5 volte quelle di un trasformatore • Il trasformatore d’isolamento risulta
rente in quanto non consentirebbero il funzionante a frequenze maggiori di 50 relativamente semplice da costruire.
completo isolamento dei LED, ovvero KHz! La seconda riguarda il rendimen- Iniziamo con la descrizione del con-
del carico, dalla rete 220 VCA. Sarebbe
to del trasformatore stesso che, nel mi- troller, riguardo il quale la scelta è ri-
tuttavia possibile, in linea puramente
gliore dei casi, utilizzando lamierini spe- caduta su un componente prodotto dal-
teorica, interporre un trasformatore
ciali ed accettando un costo la NATIONAL SEMICONDUCTOR ovvero
d’isolamento a 50 Hz ed ottenere l’iso-
maggiorato, può arrivare al 90%. A que- LM5021-2. Esso è fornito nel package
lamento richiesto (vedi figura 1). L’iso-
sto drenaggio di rendimento devono MSOP, quindi adatto per spingere al
lamento del carico è assolutamente ne-
naturalmente aggiungersi le perdite del massimo la miniaturizzazione dell’in-
cessario se il convertitore presenta dei
morsetti su cui collegare la serie di
Figura 4: parametri che definiscono la LED. Infatti, in questi casi, l’utente/in-
massima Vds del MOSFET.  stallatore potrebbe toccare uno dei po- Classe Isolamento VAC nominale VAC test Tensione impulsiva massima
li d’uscita quando il convertitore è col- Y1 Doppio - rinforzato 250 max 4000 8 KV
• Economia progettuale (per quanto legato alla rete. Questa pericolosa Y2 Base - supplementare Da 150 a 250 1500 5 KV
possibile). situazione sarebbe esclusa a priori nel Y3 Base - supplementare Da 150 a 250 1500 Non previsto
Nelle pagine che seguono troveremo caso in cui convertitore e serie LED
Y4 Base - supplementare 150 max 900 2,5 KV
una risposta, fra le molte possibili, a fossero “ospitati” nello stesso conte-
queste prerogative e ne approfitteremo nitore ed il collegamento alimentato-
Tabella 2: Sottoclassi dei condensatori di tipo “Y”. 
per fare un discorso di metodo sulle re-carico non fosse accessibile al-

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


sieme. Possiede inoltre altre caratteri-
stiche interessanti: controllo di corren-
te “pulse by pulse”, possibilità di fun-
zionamento in burst mode quando
sottoposto a sovraccarico ed una cor-
rente di start-up veramente irrisoria.
Chiunque sia interessato ad approfon-
dire può comunque consultare il data-
sheet che, nel solco della tradizione
National Semiconductor, è chiaro ed
abbastanza esaustivo (vedi bibliografia).

LA CONFIGURAZIONE FLYBACK
Sarà opportuno ricordare brevemente i
principi del funzionamento di un con-
vertitore flyback, il cui schema a bloc-
chi, nella modalità con controllo di cor-
rente sul carico, è mostrato in figura 2.
In esso sono state volutamente evi-
denziate le parti non isolate, ovvero il la-
to rete (colore rosso), da quelle sog-
gette all’isolamento fornito dal
trasformatore e dal fotoaccoppiatore
(colore verde). Per comprendere me-
glio il funzionamento del convertitore Figura 5: schema elettrico completo del convertitore. 
flyback concentriamo la nostra atten-
zione sulle maglie d’ingresso e d’uscita,
presenta al lato primario del trasfor- come conseguenza, il trasformatore di cleo ha così modo di rilasciare l’energia
esse sono visibili in figura 3. Per un
matore con le polarità indicate. Que- flyback avrà immagazzinato energia nel immagazzinata durante la fase prece-
primo approccio, immagineremo che il
sta tensione, trasferita immediatamen- suo nucleo. Nella fase di toff il MO- dente. La tensione presente durante il
trasformatore flyback abbia identico
rapporto spire fra primario e secondario. te sul secondario, possiede però segno SFET è invece interdetto ed il primario toff sul secondario, oltre ad alimentare
Notiamo due stati di funzionamento, opposto a causa del diverso senso di è completamente aperto. Questa con- Rc, induce a sua volta sul primario una
dipendenti dalla presenza o meno del- avvolgimento delle due sezioni costi- dizione implica il cambio di polarità tensione chiamata tensione di flyback (o
l’impulso di comando sul gate del MO- tuenti il trasformatore. Quindi, essendo sulla tensione presente al secondario riflessa), più semplicemente Vfly. Que-
SFET: ton e toff. l’anodo di D polarizzato inversamen- che potrà, attraverso D polarizzato di- sta tensione, presente per tutto il tem-
Durante il ton, il MOSFET Q è in con- te, non si avrà nessun passaggio di rettamente, fornire corrente alla resi- po di toff e dipendente dal rapporto
duzione e la tensione ai capi di Cin si corrente sulla resistenza di carico Rc e, stenza Rc. Durante la fase di toff il nu- spire, si somma alla tensione Vds a cui

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Figura 7: correnti di
disturbo di modo comune
e differenziale. 

CIRCUITO
D’INGRESSO
Riportiamo, isolandoli
dal contesto, i compo-
nenti costituenti lo stadio
Figura 6: particolare dello stadio d’ingresso del convertitore. 
d’ingresso del nostro ali-
mentatore, essi sono vi-
dovrà resistere il MOSFET. Come con- Vci dipende dalla tensione di rete in in-
sibili in figura 6. Nello
seguenza, questo ultimo componente gresso. Le variazioni di questa possono
schema è stata posta in
andrebbe scelto con una Vds massima arrivare al 15% in più o in meno rispet-
serie alla rete una resi-
che tiene conto anche di questa pecu- to al suo valore nominale (220 VCA) in
stenza Rs; essa rappre-
liarità. E’ interessante notare come Vfly accordo con quanto previsto dai for-
senta tutte le perdite re-
sia in realtà quasi costante rispetto al- nitori di energia elettrica. In altri ter-
sistive della linea AC. Un
le variazioni di rete in quanto il poten- mini, considerato il valore di picco del-
Nell’elaborazione del PCB occorre pre- valore normale di tale parametro, per re-
ziale sul secondario del trasformatore la tensione raddrizzata, la nostra Vci
stare attenzione ai percorsi delle mas- ti domestiche, può essere compreso
tende ad essere mantenuto stabile per può assumere un valore minimo pari a
se. In particolare, per evitare instabilità, fra 0,6 Ohm e 0,8 Ohm e quindi decisa-
effetto della regolazione del duty-cicle. 270 VDC mentre, al suo massimo, potrà
è necessario che il percorso di massa mente trascurabile per un funziona-
Semmai, eventuali variazioni della ten- essere pari a 360 VDC.
principale, denominato M1 ed M2 sia il mento a regime. Tuttavia, durante i pic-
sione secondaria, possono dipendere Naturalmente, per definire la Vds mas-
più corto e diretto possibile. Inoltre, il chi di carica di C, potrebbero cadere su
dal numero di LED costituenti il cari- sima, prenderemo in considerazione
resto dei componenti che collegano la Rs anche 3 ÷ 4 V. Inoltre, eventuali di-
co. Un altro margine va aggiunto alla questo ultimo valore. Per aiutare il MO- sturbi uscenti dal nostro convertitore,
massa deve toccare questo percorso in
Vds massima per proteggere il compo- SFET a smaltire il calore prodotto du- invece di annullarsi immediatamente
un solo punto.
nente dallo spike che, come mostrato in rante il funzionamento sarà sufficiente
figura 4, si sommerà ai già citati po- prevedere una superficie in rame, rica-
tenziali. Sempre in figura 4 viene evi- vata direttamente sul PCB e su cui sa-
denziato un ulteriore piccolo sovrap- rà stagnato il drain, non inferiore a 7 Classe VAC nominale Tensione impulsiva massima
più, definito Vmargine, in modo da far cmq. X1 480 4 KV
lavorare il MOSFET in completa sicu- Prima di passare allo studio del di- X2 310 2,5 KV
rezza. mensionamento degli altri componenti X3 310 Non prevista
La Vmargine è sufficiente che sia su- costituenti il nostro convertitore, diamo
periore del 20% rispetto alla Vds mas- uno sguardo allo schema elettrico com- Tabella 3: sottoclassi dei condensatori di tipo “X”. 
sima, mentre occorre tenere conto che pleto così come appare in figura 5.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Figura 8: misura delle correnti di modo comune. 

sull’estremamente bassa impedenza di 78% ed un carico massimo pari a 12 W


linea, troverebbero un percorso resi- (corrispondenti a 12 LED), la corrente ri-
stivo su cui manifestarsi. E’ questo uno chiesta sarà di:
dei fattori per cui è necessario far atte- 15,4 (W) / 185 (VCA) = 83 mA
stare meno disturbi possibili sul cavo di pertanto la corrente massima istantanea
alimentazione AC del nostro converti- in ingresso sarà pari a:
tore. La funzione di Rlim è quella di li- 83 (mA) x 50 = 4,15 A
mitare la corrente di carica di Ci nel Tali valori producono una resistenza di
primissimo istante d’accensione, ov- 44,5 Ohm, valore sicuramente elevato e
vero con Ci completamente scarico. Le abbastanza dissipativo. Tuttavia la pre-
normative fissano la massima corrente senza dell’induttanza L potrebbe aiu-
di spunto in 50 volte la corrente massi- tarci a ridurre il valore di Rlim. Infatti gli
ma a regime. Evidentemente, conside- avvolgimenti di L, sicuramente provvi-
rata la potenza d’uscita quasi costante, sti di una resistenza serie non trascu-
la massima corrente in ingresso si avrà rabile per i nostri fini, sono posti in se-
quando la rete è al suo valore minimo, rie a Rlim. Altro fattore a nostro
ovvero a circa 185 VCA. Considerando beneficio, sempre causato dalla pre-
un rendimento abbastanza reale del senza di L, è l’induttanza stessa che

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


questa ultima possiede e che tende a mentazione mentre A) può essere chia- convertitore fosse sprovvisto della pre- mentazione di filtri appropriati. Tra l’al-
far salire linearmente la corrente di pic- mato misura delle emissioni irradiate. La sa di terra. Infatti, le capacità distri- tro, i test di certificazione devono ne-
co, evitando in tal modo spunti troppo presenza di un buon filtro all’ingresso buite (piste del PCB adiacenti a piani cessariamente essere fatti con il cavo di
elevati. Un ulteriore aiuto proviene dal dell’alimentatore switching è comun- metallici, ecc...) e parassite (fra prima- rete in dotazione all’alimentatore. Può
condensatore Ci. Infatti esso è sicura- que necessaria anche per eliminare rio e secondario del trasformatore, accadere, a tal proposito, che deter-
mente provvisto di una sua resistenza eventuali disturbi a bassa energia pre- ecc...) sottoposte ad elevati dv/dt pos- minate lunghezze del cavetto AC pos-
serie che, con correnti aventi incre- senti sulla rete AC (spike, ecc...) che sono comunque condurre correnti ver- sano dar luogo a forme di risonanza
menti nel tempo elevatissimi, fa sentire potrebbero provocare malfunzionamenti so terra che, misurate nel campo da difficilissime da eliminare con i filtri LC
positivamente la sua presenza. Con- temporanei. Il convertitore è protetto 150 KHz a 30 MHz durante i test di qua- appena visti. In tal caso può essere uti-
cludendo, un valore normale per Rlim da eventuali sovratensioni prolungate lificazione, devono rimanere entro ben le inserire una ferrite con serraggio a
potrebbe essere compreso fra 22 ÷ 27 per mezzo di Dcl che, andando in con- determinati valori. La figura 8 mostra clips (vedi figura 9), essa sarà posi-
Ohm con una potenza di 2 W. Le forti duzione per tensioni superiori a 299 come sono misurate le correnti con- zionata quanto più possibile vicino al
correnti istantanee impongono l’uso di VCA, provoca la rottura del fusibile evi- dotte di modo comune. Il filtro aggiun- convertitore. In ogni caso, l’uso di con-
elementi a filo avvolto. Continuando tando in tal modo danni più gravi. Ri- to, definito anche stabilizzatore del- tenitori metallici collegati a terra, pur in-
l’esame dello schema di figura 6, no- portando la nostra attenzione sul filtro l’impedenza di linea, permette di cidendo negativamente sui costi totali
tiamo una combinazione di capacità d’ingresso, possiamo osservare come la ottenere misure ripetibili ovunque, af- rispetto ad involucri plastici, rappre-
poste in configurazione di doppio fil- configurazione scelta permette un buon fatto influenzate dai parametri e dai di- senta una scelta costruttiva di tutta si-
tro passa basso accoppiate con L. L’in- filtraggio dei disturbi di modo comune sturbi della rete AC locale. Per aver curezza al fine di ridurre emissioni no-
sieme di questi componenti ha il com- e di modo differenziale. La figura 7 un’idea delle grandezze in gioco si con- cive, soprattutto quelle dovute ad
pito, niente affatto facile, di filtrare tutte può chiarire il percorso seguito da que- sideri che, su un carico di 50 Ohm, la irradiazione. Torniamo adesso alla fi-
le correnti che non sono i 50 Hz della re- ste correnti di disturbo all’interno del massima tensione ammessa è: gura 6 e spostiamo la nostra attenzio-
te luce. Come è noto, infatti, gli ali- nostro convertitore. Si noti come la cor- • Da 150 KHz a 300 KHz ≤ 9 mV ne sui condensatori CY1 e CY2. Queste
mentatori switching sono dei forti emet- rente di modo comune, che si richiude (pari a 180 µA) capacità, che hanno il compito di bi-
titori di disturbi. La propagazione di verso la terra, sia in realtà doppia ri- • Da 300 KHz a 30 MHz ≤ 4,5 mV lanciare le correnti parassite di modo
questi ultimi può avvenire in due mo- spetto alla corrente differenziale. Da (pari a 90 µA) comune, possono essere considerate
dalità: notare, inoltre, che correnti di modo Sono valori decisamente bassi, tali da componenti critici in quanto una loro
A) Con emissione di radiazioni elettro- comune sarebbero presenti anche se il consigliare la massima cura nell’imple- mancanza d’isolamento riverserebbe il
magnetiche a RF
B) Tramite disturbi a frequenza varia
che si riversano sulla rete AC
Entrambe sono oggetto di test di certi-
- ON Semiconductor: How to deal with leakage elements in flyback converters, AN 1679/D, C. Basso
ficazione obbligatori e, neanche a dirlo,
i valori risultanti devono rimanere entro - Farchild Semiconductor: Design guideline for RCD snubber of flyback converters, AN4147
limiti abbastanza modesti che sono - Appunti sulle ferriti, GIEFFE, Sandit libri
specificati nelle normative stesse. In - L’alimentatore flyback, M. Frutter, Sandit libri
particolare, B) è definito anche misura
- National Semiconductor: www.national.com/ds/LM/LM5021.pdf
delle emissioni condotte sui cavi di ali-

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


potenziale di rete sull’involucro del- così come specificato in tabella 1. C = I / (2 π f V) nella categoria definita “X” (vedi ta-
l’alimentatore. Il valore di queste ca- Sempre osservando la tabella 1, de- Si otterrà: bella 3). In particolare, il suo valore
pacità è limitato dalla massima corren- duciamo che la tipologia del nostro C = 0,00075 / (6,28 x 50 x 253) = 9,4 nF massimo non può superare 1 µF. Tale li-
convertitore appartiene alla classe “Ap- (circa). mitazione nasce da due distinte pro-
te che si può trasferire verso terra,
parecchi mobili” in quanto, seppure col Il valore così ottenuto, diviso per due in blematiche:
naturalmente in questo caso parliamo
vincolo del cavo di alimentazione AC, quanto sono presenti due capacità, può • Mantenere sulla rete AC uno sfasa-
della corrente a 50 Hz della rete. Le
esso è spostabile. La massima corren- avvicinarsi al valore commerciale di 4,7 mento, dovuto alla presenza di reat-
normative specificano un valore limite in nF. L’osservanza delle normative im- tanza capacitiva, non elevatissimo.
te ammissibile sarà quindi pari a 0,75
relazione al tipo di apparecchiatura, pongono, per questo tipo di compo- • Consentire tempi di scarica inferiori al
mA. A questo punto, applicando la leg-
ge di Ohm, possiamo impostare: nente, il cosiddetto “doppio isolamen- secondo quando la spina del converti-
to” ed una tensione di test (che può tore è rimossa dalla rete AC in modo da
Xc = V / I
essere sostenuta solo per un piccolo in- evitare “scossoni” all’utente.
In cui Xc, che rappresenta la nostra re-
tervallo di tempo) pari a 4000 VAC. Inol- Un’ulteriore considerazione va fatta nel
attanza capacitiva, sarà dato da:
tre, tali condensatori devono resistere caso la nostra apparecchiatura debba
Xc = 1 / (2 π f C)
ad impulsi brevissimi di 8 KV e devono funzionare connessa alla rete 24 ore
Ove C rappresenta la nostra capacità in-
essere costruiti in maniera da non cor- su 24, ovvero sia collegata alla rete AC
cognita. E’ ora possibile, applicando
tocircuitarsi mai in caso di rottura. Per con allaccio fisso (cablatura). In questa
nuovamente la legge di Ohm, imposta- aiutare la scelta del progettista la nor- eventualità il condensatore in oggetto
re il calcolo con valori reali tenendo mativa EN 132400 specifica 4 tipolo- deve soddisfare la normativa IEC 60384-
presente che V sarà pari alla massima gie contraddistinte dalla lettera Y se- 14 (relativa alla performance su periodi
tensione di rete ammissibile (253 VCA). guita da un numero (vedi tabella 2). prolungati). Spostiamo adesso il no-
Figura 9: ferrite auto-fissante per cavi di
Per cui, sapendo che: Dalla tabella 2 si evince che l’unica stro interesse sull’induttanza L. Que-
alimentazione. 
categoria ad isolamento doppio è la sto componente, utile a ridurre sia le
classe “Y1”. Nella scelta di tale com- emissioni di modo comune che diffe-
ponente è bene verificare la presenza renziale, ha gli avvolgimenti disposti in
dei marchi di sicurezza (UL, IMQ, VDE, controfase in modo da evitare satura-
ecc...) che garantiscono, al di là delle zioni del nucleo che ridurrebbero l’effi-
caratteristiche dichiarate, l’adegua- cienza filtrante. Gli stessi avvolgimenti
mento alle normative richieste. Ritor- sono poi distanziati il più possibile in
niamo adesso allo schema di figura 6 e modo da aumentare l’induttanza di-
concentriamo la nostra attenzione su spersa e diminuire l’accoppiamento in-
Cx. Questo componente, la cui azione di duttivo fra le sezioni. Da notare che il
filtraggio è efficace nei confronti delle costruttore specifica il valore dell’in-
correnti di disturbo di modo differen- duttanza quasi sempre in modo comu-
ziale, deve comunque ottemperare alle ne mentre, d’altro canto, il valore d’in-
caratteristiche di sicurezza previste duttanza nella modalità differenziale è
Figura 10: modello per il calcolo dell’attenuazione di modo comune.  nella normativa EN132400 e rientrare sensibilmente più piccolo e di solito

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


non superiore al 2% del valore nomi- eliminare transienti di rete aventi con-
nale. Un buon modello per definire l’at- tenuto energetico non trascurabile e di
tenuazione di modo comune è presen- cui abbiamo già accennato. Il fusibile
tato in figura 10. Per applicare il posto in derivazione della rete deve es-
modello presentato occorre conoscere sere di tipo ritardato e soddisfare i re-
l’impedenza offerta ad una data fre- quisiti di infiammabilità previsti dalle
quenza, cosa facile e possibile vista la normative. Nella scelta si faccia atten-
quantità di grafici e tabelle proposte zione che il tempo in cui è sostenuta la
dai costruttori. Osservando l’esempio di corrente di spunto iniziale (definita in-
figura 10, ad un segnale di disturbo rush current) non sia superiore al tem-
di 30 mV alla frequenza di 1 MHz corri- Figura 11: po massimo di sovraccarico consenti-
isolamento fra
sponde, su carico di 50 Ohm, un se- to dal componente. Il condensatore Ci
piste di rete
gnale pari a 2,3 mV. Nell’esempio, ove si è un elemento che negli alimentatori
adiacenti. 
è assunto che l’induttanza L, per una switching va dimensionato con una cer-
frequenza di 1 MHz, abbia un’impe- ta attenzione. Infatti, scegliendo una
vece permeabilità più contenute ma rente assorbita dalla rete, molto ridotti
denza pari a 600 Ohm, l’attenuazione capacità troppo elevata si avranno i
ben distribuite nell’ambito delle fre- e l’uso di un filtro come quello appena
sarà di circa -18 dB. Il valore dell’in- seguenti svantaggi:
quenze interessate. Non è possibile visto potrebbe non andare bene. In
duttanza di modo comune dipende dal- • Picchi di corrente di carica molto ele-
stabilire a priori quale sia la scelta mi- questi casi sono necessari filtri multipli
la permeabilità magnetica del materia- vati che, essendo a frequenza di swit-
gliore ma, in linea generale, ove non vi o, come vuole la tendenza, usare circuiti
le costituente il nucleo e dal numero ching, possono contribuire ad aumen-
siano particolari picchi di emissione da PFC (Power Factor Corrector) che per-
delle spire. L’approccio costruttivo di ta- tare le emissioni di disturbi.
contrastare, è preferibile usare indut- mettono l’assorbimento di corrente
li componenti è stato da sempre quello • Aumento della corrente iniziale di
di ridurre il numero delle spire, risol- tanze con risposte di frequenza omo- sempre in fase con la tensione. Tor-
spunto (inrush current).
vendo in tal modo sia i problemi legati a genee. Si ricordi, tra l’altro, che l’in- nando allo schema di figura 6, notiamo • Dimensionamento attento dei com-
perdite resistive che gli ingombri ec- duttanza deve sopportare, oltre alla un resistore, nominato Rsc, connesso in ponenti soggetti ai primi due punti (pon-
cessivi. Questo modo di procedere ha normale corrente di funzionamento, i parallelo alla tensione di rete in ingres- te di diodi, Rlim, L).
portato all’utilizzo di nuclei toroidali picchi di carica derivanti da Ci. Un di- so al filtro. Lo scopo di questo com- • Ingombri maggiori di Ci.
prodotti con ferriti ad alta permeabilità mensionamento generoso della mas- ponente è di scaricare, in un tempo Viceversa, adottando una capacità trop-
magnetica che, come contropartita, non sima corrente di lavoro, di solito una non superiore al secondo, le capacità po piccola potremmo andare incontro
sempre presentano costanza di valori maggiorazione del 50%, è quindi au- presenti sul filtro d’ingresso. L’utente, alle problematiche che seguono:
nell’ambito delle frequenze interessate spicabile. Occorre comunque osserva- infatti, potrebbe toccare i due poli del- • Eccessivo ripple a frequenza di rete
(da 150 KHz a 30 MHz). In particolare, re che l’uso di questo tipo di filtri in in- la spina di rete, una volta che questa sia che potrebbe addirittura essere perce-
ferriti in MnZn, pur presentando valori di gresso, composti da induttanze e disconnessa, e non deve avvertire spia- pito come vibrazione sul trasformatore
permeabilità altissimi nell’ambito di fre- capacità, è possibile fino a potenze (in cevoli, anche se innocue, scariche elet- flyback.
quenze inferiori a 10 KHz, hanno una ingresso) pari a 75 W. Superato que- triche. In parallelo a Rsc troviamo il • Una cattiva stabilizzazione della
brusca caduta per frequenze maggiori di sto limite le normative impongono valori diodo Dcl, un normale diodo clamping corrente d’uscita per tensioni di rete
10 MHz. Le ferriti in NiZn presentano in- di sfasamento, nei confronti della cor- per CA (bidirezionale) necessario per basse.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Teconimprese Connect&Cable - connection day

• Un maggiore dimensionamento del scelta la terza modalità. L’aumento del-


nucleo del trasformatore di flyback che la capacità di Ci può essere calcolato, in
dovrà sopperire alla tensione d’ingres- questo caso, applicando un correttivo
so, mediamente più bassa, immagazzi- alla formula empirica già enunciata, ov-
nando più energia. vero:
E’ evidente che il giusto valore di Ci Valore Ci (in µF) ≈ potenza assorbita x
deve essere un compromesso fra i fat- 2,5
tori sopra citati. Esiste comunque una Si tenga presente che i valori calcolati in
formula empirica, valida per appronta- entrambi i casi vanno arrotondati per
re prototipi, che ci permette di rispar- eccesso. E’ possibile usare più capacità
miare tempo in calcoli. in parallelo, spazio permettendo, in mo-
Naturalmente il valore così ricavato ri- do da comporre i valori ricercati. L’uso
chiede una verifica funzionale, tutta- di più condensatori in parallelo appor-
via, per convertitori con potenze d’in- ta, tra l’altro, benefici al funzionamento
gresso inferiori a 25 W, essa si rivela dovuti al ridursi dell’induttanza serie
quasi sempre efficace: propria di questi componenti. Nella pro-
Valore Ci (in µF) ≈ potenza assorbita x gettazione del PCB deve prestarsi mol-
1,7. ta cura nel mantenere un’adeguata di-
In cui la “potenza assorbita” è la po- stanza fra piste contigue che
tenza in ingresso, considerando un ren- conducono la rete AC. Le normative EN
dimento non superiore al 78%. 60335-1 e IEC 60664 che si occupano di
In alcune applicazioni le normative ri- questo aspetto richiedono, per le ap-
chiedono che il convertitore non mo- parecchiature ad uso domestico-civile,
stri malfunzionamenti sul carico per in- una distanza in aria pari a 4 mm e su su-
terruzioni di rete pari a 0,01 secondi perficie (vetronite FR4, plastiche iso-
(mezzo semiperiodo). Questa caratteri- lanti, ecc...) pari a ben 8 mm. Se il layout
stica, definita hold up time, può essere lo rende necessario, è possibile ridurre
risolta in tre modi: la distanza d’isolamento mediante
• Aumentando di molto la capacità d’in- un’asolatura sul PCB che, essendo in
gresso, il nostro Ci, in modo che possa aria, potrà portare fino a 4 mm la di-
sopperire da solo ai “buchi” di alimen- stanza fra piste di rete adiacenti (vedi fi-
tazione. gura 11).
• Ottimizzare il convertitore per funzio-
namenti a bassa tensione di rete. CONCLUSIONI
• Impiegare entrambe le possibilità so- Nel prossimo numero affronteremo il
pra viste. dimensionamento del trasformatore fly-
Nell’applicazione presentata è stata back e dello stadio di uscita.

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Firmware
www.fwonline.it

EVENTS zapping
DIRETTORE RESPONSABILE Ufficio Abbonamenti
MOBILE WORLD 2011, stabilirà nuovi e partecipazione) delle loro uno dei suoi più importanti
Maurizio Del Corso INWARE Edizioni srl
CONGRESS 2011 parametri di riferimento e imprese. La capacità di eventi congressuali proprio Via Cadorna, 27/31

Il GSMA Mobile World best mark. Per quanto Fortronic di fornire contenuti all’interno della DIRETTORE MARKETING 20032 Cormano (MI)
Antonio Cirella
Congress (formalmente riguarda l’internazionalità validi e strutturati in manifestazione. Per informazioni, sottoscrizione o rin-

novo dell’abbonamento:
degli espositori, il Numero 1 workshop e conferenze in un EXPO COMM 2011 ha
3GSM World Congress) è Segreteria di redazione
abbonamenti@inwaredizioni.it
dell’embedded registra un format che la stessa preparato un programma di Giorgia Generali
l’appuntamento di riferimento Tel. 02.66504755

aumento superiore al 17 %. conferenze di alto livello con


per l’industria mobile. associazione inglese Intellect Comitato Scientifico
Fax. 02.66508225

Inoltre parteciperanno la presenza di relatori Simone Masoni (Microtest), France- L'ufficio abbonamenti è disponibile
Durante la manifestazione si (oltre 800 aderenti sco Picchi (Microtest), Massimo Ro- telefonicamente dal lunedì al vener-
all’evento saliente del settore nazionali e internazionali vini (Università degli Studi di Pisa).
terranno una serie di www.intellect.uk) ha dì dalle 14,30 alle 17,30.
pronti ad affrontare i temi di Art Director
convegni simultanei tenuti da anche molte nuove aziende. identificato come la migliore Tel. 02.66504755
Patrizia Villa
maggiore attualità legati al Fax 02.66508225
esperti di settore provenienti Dove: Norimberga soluzione tra un centinaio di
mondo delle tecnologie ed in Autorizzazione alla pubblicazione
dalle industrie leader di Quando: 1-3 marzo 2011 alternative promozionali e Hanno collaborato
particolare sullo sviluppo in questo numero:
Tribunale di Milano n. 20

settore e dagli operatori di info: http://www.embedded- fieristiche analizzate a livello del 16/01/2006
della Next Generation Francesco Ficili, Paolo Narcisi,

telefonia mobile. Per world.de/en/ mondiale. Ogni evento William Pasin, Luca Pertile, Mario
Network ed i relativi progetti Rotigni, Marco Russi, Manuel © Copyright
l’edizione 2011 sono attesi Fortronic si basa su una per dotare il nostro Paese di Schreiner, Mariano Severi, Luca Tutti i diritti di riproduzione o di tra-

oltre 50000 visitatori. TUNISIA FORTRONIC giornata d’incontri una infrastruttura diffusa Stanzani, Markus Vogel. duzione degli articoli pubblicati sono

Dove: Barcellona Fortronic (Electronics Forum) /conferenze incisivi per basata su fibra.
riservati. Manoscritti, disegni e foto-
Direzione Redazione
grafie sono di proprietà di Inware Edi-
Quando: 14-17 Febbraio 2011 creato da Assodel, ha contenuto e tempistiche; Dove: Roma INWARE Edizioni srl
zioni srl. È vietata la riproduzione an-

info: l’obiettivo di realizzare il d’interventi aziendali Quando: 30-31 Marzo 2011


Via Cadorna, 27/31
che parziale degli articoli salvo
20032 Cormano (MI)
www.mobileworldcongress.com ‘matching’ tra la domanda e (workshop e tutorial); di info: www.expocommitalia.it Tel. 02.66504755
espressa autorizzazione scritta del-

l’editore. I contenuti pubblicitari sono


l’offerta in Paesi terzi business e di relazione tra le
Fax 02.66508225
info@inwaredizioni.it riportati senza responsabilità, a pu-
EMBEDDED WORLD 2011 attraverso incontri imprese in aree dedicate alla MULTICORE EXPO www.inwaredizioni.it ro titolo informativo.

L’embedded world, la più focalizzati, rapidi ed socializzazione e alle demo. Evento mondiale dedicato ai Redazione: fw@inwaredizioni.it Collaborare con

FIRMWARE
grande e più importante essenziali. Soluzioni di Dove: Tunisi sistemi embedded multicore.
Pubblicitá per l’Italia Le richieste di collaborazione vanno
manifestazione del suo gruppo di un giorno e a meno Quando: 8-9 marzo 2011 Due giornate di sessioni indirizzate all’attenzione di Maurizio
Agostino Simone

genere, dà il via ogni anno di un quarto del costo che info: www.fortronic.it tecniche e business con la Tel. 347 2230684 Del Corso (m.delcorso@inwaredi-
media@inwaredizioni.it
alla serie di saloni dell’high richiederebbe una qualsiasi possibilità di seguire training zioni.it) e accompagnate, se possi-

bile, da una breve descrizione delle


tech e di eventi altamente partecipazione diretta o EXPO COMM ITALIA formativi con esperti del vostre competenze tecniche e/o edi-
International Advertisement
specializzati e carichi di indiretta; impegnandosi Quest’anno EXPO COMM settore. Elisabetta Rossi toriali, oltre che da un elenco degli ar-

know-how che si svolgono direttamente, con gli Enti e le ITALIA si avvarrà del Dove: Tunisi Tel. 328 3245956 gomenti e/o progetti che desiderate

international@inwaredizioni.it proporre.
alla fiera di Norimberga. associazioni locali, supporto di Confindustria Quando: 2-5 maggio 2011
Come ogni anno, anche nel all’incoming (coinvolgimento Servizi Innovativi, che terrà info: www.multicore-expo.com

10 FOCUS ON 14 SKILLS 32 INSIDE 37 TOOLS 48 ANALOG

4 TIPS’N TRICKS 8 MARKET NEWS 29 SPOTLIGHT 62 EVENTS ZAPPING ABBONAMENTO


Firmware
è sui social network!

Dovresti seguirci
su Facebook qui

Dovresti seguirci
su Twitter qui

Potrebbero piacerti anche

  • Ne 116
    Ne 116
    Documento132 pagine
    Ne 116
    Bruno Melandri
    Nessuna valutazione finora
  • Ne 119
    Ne 119
    Documento132 pagine
    Ne 119
    Bruno Melandri
    Nessuna valutazione finora
  • Onda Quadra 1978 - 03 PDF
    Onda Quadra 1978 - 03 PDF
    Documento60 pagine
    Onda Quadra 1978 - 03 PDF
    Bruno Melandri
    Nessuna valutazione finora
  • Agricoltura 76 PDF
    Agricoltura 76 PDF
    Documento52 pagine
    Agricoltura 76 PDF
    Bruno Melandri
    Nessuna valutazione finora
  • Agricoltura 72
    Agricoltura 72
    Documento52 pagine
    Agricoltura 72
    Bruno Melandri
    Nessuna valutazione finora
  • Agricoltura 74
    Agricoltura 74
    Documento52 pagine
    Agricoltura 74
    Bruno Melandri
    Nessuna valutazione finora
  • Firmware 70
    Firmware 70
    Documento71 pagine
    Firmware 70
    Bruno Melandri
    Nessuna valutazione finora
  • Agricoltura 73
    Agricoltura 73
    Documento52 pagine
    Agricoltura 73
    Bruno Melandri
    Nessuna valutazione finora