Sei sulla pagina 1di 21

A AM MP PL LI IF FI IC CA AT TO OR RI I I IN N C CL LA AS SS SE E D D

Corso Elettronica Industriale Anno Accademico 2005-2006



Prof Ing Lorenzo Capineri

Indice

1. Generalit degli amplificatori in Classe D

1.1 Risposta in frequenza

2. Principio di funzionamento

2.1 Analisi del segnale modulato PWM

2.2 Relazione ingresso uscita

2.3 Considerazioni sulla realizzazione di amplificatori in
Classe D

2.4 Appunti sulla modulazione della durata di impulsi (PWM)

3. Analisi di un circuito commerciale con amplificatore in
classe D integrato TPA2000D2

3.1 Confronto tra diversi tipi di modulazione per amplificatori in Classe D
a ponte

3.2 Esempio di modulazione adottata nell integrato TPA2000D2

4. Valutazione dellefficienza e banda passante di un amplificatore in
Classe D

5. Riferimenti bibliografici e materiale di consultazione




Amp||f|cofor| |n C|osse D 115



1 1. . G GE EN NE ER RA AL LI IT TA A D DE EG GL LI I A AM MP PL LI IF FI IC CA AT TO OR RI I I IN N C CL LA AS SS SE E D D






























Negli amplificatori in classe D, il segnale da
amplificare modula il DUTY-CYCLE dello stadio di
potenza
Elevato rendimento
Dispositivi attivi che attraversano la zona lineare per
un breve tempo passando dalla saturazione
allinterdizione e viceversa
Filtro passa basso in uscita a componenti L-C

Amp||f|cofor| |n C|osse D 11

T
i
=V
fi
Filtro Passa Basso
L
C
V
in
T
0
=

0
f
1
fin
2f0- fin 2f0+fin
2f0
f0+fin
f0
f0- fin
f
f
CUT-OFF
FILTRO PASSIVO L-C
PASSA-BASSO
>
Banda Segnale
fCUT-OFF



1 1. .1 1 R RI IS SP PO OS ST TA A I IN N F FR RE EQ QU UE EN NZ ZA A






















CARATTERISTICHE PRINCIPALI



Elevato rendimento > 90%


apparecchiature portatili alimentate a batteria
amplificatori audio di grande potenza (1-10 kW)


Potenza in uscita limitata e distorsione moderata a causa
delle difficolt realizzative del filtro L-C

(I
Max
induttore, dimensioni, pendenza filtro)

Generazione di disturbi condotti e radiati
(frequenza di commutazione dellordine di 200 kHz)


Amp||f|cofor| |n C|osse D 117



2 2. . P PR RI IN NC CI IP PI IO O D DI I F FU UN NZ ZI IO ON NA AM ME EN NT TO O




Obiettivo: dimostrare la relazione che intercorre tra il segnale
di ingresso e quello di uscita


Strumenti di analisi: elementi di teoria del campionamento e di
modulazione dei segnali analogici



SCHEMA A BLOCCHI


} }} }
dt
S&H
Filtro
Passa
Basso
PWM
Gen. onda
quadra
RL
VOUT (t)
Vin
(t)
V0 PWM
VCC +
S I
FPB
G

OUT
V
f0
OUT V

OUT V




Si considerano IDEALI i seguenti blocchi:


S Interruttore elettronico
I Integratore
S&H Sample & Hold
FPB Filtro Passa Basso
G Generatore onda quadra a frequenza f
0
e D.C. 50%
PWM Modulatore della durata degli impulsi (Pulse Width
Modulation)



Amp||f|cofor| |n C|osse D 118
8
inMAX
cc
V
V
=
RL
AMPL.
Classe D
VCC +
PCC POUT
Pin
Vin
(t)
VOUT
% 100
P
P
CC
OUT
D
= =



FATTORE DI AMPLIFICAZIONE


V
OUT
(t) = V
in
(t t
D
)



nel caso ideale non vi distorsione.

t
D
Ritardo introdotto dallamplificatore

Fattore di amplificazione definito come:








considerando che il sistema sia progettato per avere la max
tensione di uscita sul carico con la max escursione della V
in
e V
cc
la
tensione di alimentazione stabilizzata

RENDIMENTO
D
(caso ideale)

Assumendo componenti ideali senza perdite e P
in
= 0 risulta
P
OUT
= P
CC














Amp||f|cofor| |n C|osse D 11

Generatore
RAMPA
+
Vin
(t)
V0 PWM
-
f0
PWM
VALIM
t
t
V0 PWM
T
0
= 1/f0
Vin
(t)
V alim. comparatore
k T0
k
Vik
Vi MAX
iMAX
0
V
T
=


ANALISI DEL PROCESSO DI MODULAZIONE





























k
DURATA DEL K-ESIMO IMPULSO RELATIVO AL
CAMPIONE DI AMPIEZZA V
ik
AL TEMPO K T
0



k
= p V
ik
p costante


Se la frequenza di campionamento f
0
> 2 x Banda di V
in

il processo di campionamento non ha perdita di
informazione

Amp||f|cofor| |n C|osse D 120

} }} }
dt
S&H

OUT
V
f0
OUT V

OUT V

( )
( )
}
+

+

= =
0
0
T 1 k
kT
k cc
OUT
1 k
OUT
V dt V t V
( )
ik cc 1 k
OUT
pV V t V =
+

t
t
OUT V

(t)
k
k T0
( (( ( ) )) )
1 k
OUT t V
+ ++ +

T
0
Vcc
(k+1)T0



LA RICOSTRUZIONE DEL SEGNALE

Per ottenere un segnale ricostruito in banda base necessario un
processo di demodulazione tale che linformazione (
k
) sia
convertita di nuovo in ampiezza.
































e dalla espressione precedente* di
k

risulta:







Amp||f|cofor| |n C|osse D 121

RB
( (( ( ) )) ) t VOUT

+
-
+
-
( (( ( ) )) ) t VOUT

( (( ( ) )) ) t VOUT

R
A
RB
C
t K
D
Reset
( (( ( ) )) ) 0 t V
OUT
= == =

t
tk k T0
=
T
0
( (( ( ) )) )

t V
OUT



Nota: Pu essere utile rappresentare la funzione S&H con
un circuito di tenuta di ordine 0 (Zero Order Hold)














Il diodo D impedisce la scarica di C quando



Lintegratore di Miller azzerato ogni t
K
dal segnale di Reset


Il valore di ampiezza
( (( ( ) )) )
1 k
OUT t V
+ ++ +

poi mantenuto per il periodo di
campionamento successivo e quindi si ottiene
( (( ( ) )) )

t V
OUT










Il segnale
( (( ( ) )) )

t V
OUT
una versione campionata a f
0
del segnale di
ingresso, ma non e ancora una sua riproduzione in banda base



Amp||f|cofor| |n C|osse D 122

f
f0 f0 2f0
FPB
B
-
2f0 B
+
2f0 B
-
f0 B
+
B
( (( ( ) )) )

f V
OUT



2 2. .1 1 A AN NA AL LI IS SI I D DE EL L S SE EG GN NA AL LE E M MO OD DU UL LA AT TO O P PW WM M




Supponendo di adottare un buon grado di sovracampionamento
(f
0
>>2B) e una durata max degli impulsi
MAX
<<T
0
, il segnale
modulato PWM assumer la seguente forma [1]:


( (( ( ) )) ) ( (( ( ) )) ) [ [[ [ ] ]] ] ( (( ( ) )) ) [ [[ [ ] ]] ]


= == =

+ ++ + + ++ + + ++ +
1 n
0 in
0
0 cc
in
0
0 cc
OUT
) t n cos( t mV 1
T
V 2
t mV 1
T
V
t V




Quindi il modulo dello spettro del segnale
( (( ( ) )) )

t V
OUT
risulta













Mediante lapplicazione di un filtro PASSA BASSO IDEALE
(FPB) si ricostruisce il segnale in BANDA BASE eliminando le
ripetizioni degli spettri a nf
0
con n = 1, 2, .



Amp||f|cofor| |n C|osse D 123

RL
VOUT
H
D
( (( ( ) )) )

+
-
Vin
( )
( )
( )

in
OUT
D
V
V
H =



2 2. .2 2 R RE EL LA AZ ZI IO ON NE E I IN NG GR RE ES SS SO O- -U US SC CI IT TA A


Dallanalisi teorica precedente abbiamo visto che lo spettro del
segnale di uscita risulta, in condizioni ideali, uguale a quello di
ingresso a meno di un fattore costante di ampiezza.
Questo implica la possibilit di definire anche una Funzione di
Trasferimento per lamplificatore in classe D






Nel dominio del tempo si ottiene la relazione precedentemente
definita per il fattore di amplificazione:

V
OUT
= V
in
(t t
0
)



OSSERVAZIONE:

La possibilit di definire una f.d.t. H
D
( ) ha il vantaggio di poter
trattare questa classe di amplificatori come sistemi lineari. Ad
esempio nei sistemi retroazionati:








Amp||f|cofor| |n C|osse D 124

RL
L
C
VCC +
-
VOUT
(t)



2 2. .3 3 C CO ON NS SI ID DE ER RA AZ ZI IO ON NI I S SU UL LL LA A R RE EA AL LI IZ ZZ ZA AZ ZI IO ON NE E
D DI I A AM MP PL LI IF FI IC CA AT TO OR RI I I IN N C CL LA AS SS SE E D D



Nella realt i blocchi ideali sono costituiti da circuiti con
componenti reali attivi e passivi.
N No on n i id de ea al li it t d de ei i b bl lo oc cc ch hi i



A Au um me en nt ta a l la a d di is st to or rs si io on ne e



R Re en nd di im me en nt to o 7 70 0% % - - 9 90 0% % ( (t ti ip pi ic co o) )

Ad esempio nelle applicazioni audio con banda passante B =
20Hz - 20 kHz, si pu scegliere f
0
almeno 10 B. Per questo i
blocchi I, S&H e FPB possono essere approssimati da un
semplice filtro L C - R
L









La progettazione e realizzazione in forma integrata della parte di
modulazione e di commutazione (esclusi L e C) garantisce
compattezza, semplicit, affidabilit.


Amp||f|cofor| |n C|osse D 125




Nelle applicazioni audio portatili, come ad esempio le protesi
auricolari, dove la compattezza prioritaria rispetto alla fedelt
di riproduzione, si sfrutta leffetto di filtro passa basso dello
stesso trasduttore acustico, omettendo il filtro L - C esterno
allintegrato.

La non idealit dei componenti sia attivi (MOSFET,
operazionali, etc..) e passivi fa s che il processo di
ricostruzione non sia ideale e quindi che si aumenti la
distorsione LINEARE (in frequenza) e NON LINEARE
(intermodulazione). A tale scopo viene utilizzata la reazione
negativa per migliorare le caratteristiche, che comunque non
raggiungono mai quelle degli amplificatori A, AB per alta
fedelt.

Amplificatore per alta potenza DIGAM K Series Powersoft
Specifiche di uscita: Potenza RMS (8 ) = 2 kW con 1% TDH a 1
kHz
Sezione audio:Banda (1 W, 8 ) = (10 Hz 40 kHz), S/N>110 dB/A
(20 Hz 20 kHz), TDH: Max<0.5%
Amplificatore per bassa Potenza TPA2000D2 (Filteress Stereo
Class D Audio Power Amplifier)
TDH<0.08% (1 W, 4 ), Max Efficiency = 75 - 85 % (8 )



Amp||f|cofor| |n C|osse D 12

( ) ( ) [ ] ( ) ( )

+ + + =
1
0
0 0
0
0 0
1
2
sin 1
n
t kf
T
n
n
V
t kf
T
V
t s

( ) ( ) ( )

|
|
.
|

\
|
+ + t n t kf
T
n
t n
0
0
0
0
sin 1
2
cos 1 )] cos(

m
0
2
1
T

<< 1
T
n
0
0
<<

2
x
2



2 2. .4 4 A AP PP PU UN NT TI I S SU UL LL LA A M MO OD DU UL LA AZ ZI IO ON NE E D DI I D DU UR RA AT TA A D DI I I IM MP PU UL LS SI I
( (P PW WM M) )

Supponiamo di realizzare una modulazione PWM di tipo
lineare per ln-esimo impulso:

(n)
=
0
[1 + k f(t)]
con f(t) segnale modulante,
0
e k costanti

Il segnale modulato PWM s(t):











Spettro in banda base


Somma di termini modulati in ampiezza e base

Studiamo ora lapprossimazione di s(t) quando


e

con
m
pulsazione angolare massima di f(t).

Utilizziamo per le ipotesi precedenti le seguenti approssimazioni
( 0 X ) al 1ordine:

sin(x) x cos(x) 1 -
Amp||f|cofor| |n C|osse D 127

f
f0 f0
2f0 f
-
2f0
+
2f0
-
f0
+
s(f)
m fm
fm fm fm 0
( ) ( ) ( )

+
(

+ t n cos t kf 1
T
n 2
sin
n
V
0
0
0 0

( ) ( ) ( )

(
(

|
|
.
|

\
|
+ + + t n sin
2
1
t kf 1
T
n 2
1 1
0
2
0
0


1
2
n
T
n
0 0
0
0
<< =


( ) ( ) ( ) =
(

+ t n cos t kf 1
T
n 2
n
V
0
0
0 0

( ) ( ) ( ) t n cos t kf 1
T
2 V
0
0
0 0


+ =




Per ln-esimo termine della sommatoria vale:











<< 1


Rimane quindi il primo termine della somma che pu essere cos
approssimato (sin(x) x)







Quindi nelle ipotesi precedenti lo spettro del segnale modulato PWM
assume la seguente forma:








Amp||f|cofor| |n C|osse D 128

+
-
+
-
+
-
Gate
driver
+ V
Gate
driver
Comparator 1 Comparator 2
DD
Out
+
Out
-
R L
L L
V in
V in
Ramp
generator
T
1
T 2
T 3
T 4
V L
Z L


3. Analisi di un circuito commerciale con amplificatore
in Classe D integrato TPA2000D2

AMPLIFICATORE IN CLASSE D CONFIGURAZIONE A PONTE


Carico Z
L
= R
L
+ j L
L






















Filtro passa basso in uscita

f
CUT OFF
= 20 kHz, L
1
= L
2
= 22 H (R
s
= 0.1 )
C
1
= C
2
= 1 F


Z
L



T
1
ON T
4
ON
T
2
OFF T
3
OFF




T
1
OFF T
4
OFF
T
2
ON T
3
ON
Amp||f|cofor| |n C|osse D 12



3 3. .1 1 C Co on nf fr ro on nt to o t tr ra a d di iv ve er rs si i t ti ip pi i d di i m mo od du ul la az zi io on ne e p pe er r
a am mp pl li if fi ic ca at to or ri i i in n c cl la as ss se e D D a a p po on nt te e













Vin 0

COMP 1
COMP 2
=

Vin = 0
OUT
+
OUT
-
Vin 0
OUT
+
OUT
-
OUT
OUT I
-Vin


Amp||f|cofor| |n C|osse D 130
V
in

= 0 d.c.= 50%
d.c.> 50%
d.c.< 50%
V
in

0



3 3. .2 2 E ES SE EM MP PI IO O D DI I M MO OD DU UL LA AZ ZI IO ON NE E A AD DO OT TT TA AT TA A N NE EL LL L
I IN NT TE EG GR RA AT TO O T TP PA A2 20 00 00 0D D2 2




































Amp||f|cofor| |n C|osse D 131

d.c.> 50%
d.c.< 50%




Modulazione tradizionale:

OUT+ e OUT- sono sfasati di 180e hanno duty-cycle del 50%

Corrente media sul carico I
L
media =0

Valore picco-picco di corrente su carico induttivo 0 anche con
V
i
=0

Se V
i
0, la corrente sul carico dipende dallampiezza e dalla
forma di V
i


Modulazione TPA2000D2:

OUT+ e OUT- sono quasi in fase (con uno sfasamento di qualche
%) e con duty-cycle del 50% quando V
i
=0

La corrente sul carico, con V
i
=0 praticamente zero, ma di un
valore significativo per garantire il controllo di eventuali offset in
uscita (controllo in reazione)

Applicando un segnale di ingresso V
i
si ottiene una variazione
opposta del duty-cycle di OUT+ e OUT-, ottenendo una tensione
di uscita differenziale con impulsi rettangolari

Se V
i
aumenta in ampiezza, aumenta proporzionalmente la durata
degli impulsi rettangolari della tensione di uscita differenziale e
quindi aumenta I
L
media.









Amp||f|cofor| |n C|osse D 132




4 4 V Va al lu ut ta az zi io on ne e d de el ll l e ef ff fi ic ci ie en nz za a e e b ba an nd da a p pa as ss sa an nt te e d di i u un n
a am mp pl li if fi ic ca at to or re e i in n C Cl la as ss se e D D


La banda passante e quindi la distorsione in frequenza di un
amplificatore in Classe D e fortemente dipendente dalle
caratteristiche del filtro LC realizzato.

Considerando inoltre le perdite nei componenti L e C reali si pu
verificare che lefficienza dellintero amplificatore dipende anche dalla
frequenza.

A questo scopo si pu simulare la risposta in frequenza di un filtro LC
che poi applicheremo in laboratorio ad un carico resistivo di R
1
= 8.2
Ohm. Il valore della frequenza di risonanza del filtro LC risulta essere,
per L
1
= L
2
= 22 H e C
1
= C
2
= 1 F, di circa 41.7 KHz.

La risposta in AC per piccoli segnali pu essere valutata da 1Hz a 500
kHz in modo da comprendere lattenuazione alla frequenza di
modulazione della portante (pari a 200 kHz)

In Figura riportato lo schema elettrico del filtro e i componenti reali
utilizzati per la simulazione.



Amp||f|cofor| |n C|osse D 133





Lefficienza del filtro pu essere valutata tramite la potenza media in
ingresso P
C
erogata dal generatore di segnale sinusoidale V
1
e la
potenza media assorbita dal carico R
1
, P
out
.

Dopo avere effettuato lanalisi al transitorio con una sinusoide con
ampiezza 1 V, si possono valutare i valori della potenza media
rappresentando la traccia relativa alla potenza istantanea e quindi
calcolare il valore medio.

Si pu cosi valutare il rapporto P
OUT
/P
C
a differenti valori di frequenza,
per esempio 1 kHz, 10 kHz e 100 kHz.


Nota:. Funzione aritmetica per il calcolo del valore medio disponibile sul simulatore Switcher CAD /
LT SPICE (vedi help waveform arithmetic)
Per calcolare la potenza media (per esempio P
out
sul carico R
1
) bisogna considerare la forma donda
ottenuta dal prodotto della corrente per la tensione effettiva ai capi del bipolo. Successivamente,
esattamente per un numero intero di periodi di tale forma donda, si trascina il mouse sulletichetta
dellonda e premendo CTRL + tasto sinistro del mouse si ottiene il valore della potenza media
nellintervallo di tempo considerato.
Considerazioni analoghe vanno fatte per calcolare la potenza erogata dal generatore sinusoidale V
1
.


















Amp||f|cofor| |n C|osse D 134




5 Riferimenti bibliografici e materiale di consultazione

[1] Note di comunicazioni elettriche, G. Benelli, V. Cappellini, E. Del
Re Librearia Alfani Editrice Firenze

[2] TPA2000D2 2-W Filterless Stereo Class D Audio Power Amplifier
Data Sheet

[3] www.powersoft.it

Amp||f|cofor| |n C|osse D 135

Potrebbero piacerti anche