Discover millions of ebooks, audiobooks, and so much more with a free trial

Only $11.99/month after trial. Cancel anytime.

Materials and Processes for Next Generation Lithography
Materials and Processes for Next Generation Lithography
Materials and Processes for Next Generation Lithography
Ebook1,212 pages9 hours

Materials and Processes for Next Generation Lithography

Rating: 0 out of 5 stars

()

Read preview

About this ebook

As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography.

These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches.

This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication.

  • Assembles up-to-date information from the world’s premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigation
  • Includes information on processing and metrology techniques
  • Brings together multiple approaches to litho pattern recording from academia and industry in one place
LanguageEnglish
Release dateNov 8, 2016
ISBN9780081003589
Materials and Processes for Next Generation Lithography

Related to Materials and Processes for Next Generation Lithography

Titles in the series (13)

View More

Related ebooks

Materials Science For You

View More

Related articles

Reviews for Materials and Processes for Next Generation Lithography

Rating: 0 out of 5 stars
0 ratings

0 ratings0 reviews

What did you think?

Tap to rate

Review must be at least 10 words

    Book preview

    Materials and Processes for Next Generation Lithography - Elsevier Science

    Frontiers of Nanoscience

    Materials and Processes for Next Generation Lithography

    Volume Eleven

    Editors

    Alex Robinson

    University of Birmingham, Birmingham, United Kingdom

    Richard Lawson

    Milliken & Company, Spartanburg, SC, United States

    Table of Contents

    Cover image

    Title page

    Frontiers of Nanoscience

    Copyright

    Contributors

    Preface

    Acknowledgments

    List of abbreviations

    Chapter 1. Overview of materials and processes for lithography

    1.1. Introduction

    1.2. Overview of Lithography Process

    1.3. Lithographic Exposure Sources and Processes

    1.4. Characterization and Figures of Merit for Resists

    1.5. Resist Materials and Chemistry

    1.6. Challenges in Modern Resist Design

    1.7. Conclusions

    Chapter 2. Molecular excitation and relaxation of extreme ultraviolet lithography photoresists

    2.1. Introduction

    2.2. Extreme Ultraviolet Molecular Excitation

    2.3. Extreme Ultraviolet Molecular Relaxation

    2.4. Extreme Ultraviolet Processes in Condensed Films

    2.5. Outlook and Conclusions

    Chapter 3. Theory: Electron-induced chemistry

    3.1. Introduction

    3.2. Mechanisms for Electron-Induced Reactions

    3.3. Potential Role in Lithography

    3.4. Conclusions

    Chapter 4. EUV lithography process challenges

    4.1. Introduction

    4.2. EUV-IL as a Characterization and Nanopatterning Tool

    4.3. Resist Material Challenges

    4.4. Conclusions

    Chapter 5. EUV lithography patterning challenges

    5.1. Extreme Ultraviolet Lithography: Pushing Optical Lithography to the Extreme

    5.2. Extreme Ultraviolet Resist Stochastics

    5.3. Extreme Ultraviolet Resist Progress, a Historical Perspective

    Chapter 6. The chemistry and application of nonchemically amplified (non-CA) chain-scission resists

    6.1. Introduction

    6.2. The Ceiling Temperature

    6.3. The Chemistry of Specific Polymer Resist Systems

    6.4. Summary

    Chapter 7. Chemically amplified resists and acid amplifiers

    7.1. Extreme Ultraviolet Resists

    7.2. EUV CAR Resists

    7.3. Conclusion

    Chapter 8. Negative-tone organic molecular resists

    8.1. Introduction

    8.2. Fullerene Resists

    8.3. Triphenylene Resists

    8.4. Calixarene Resists

    8.5. Noria Resists

    8.6. Polyphenol Resists

    8.7. Cationic Polymerization and Cross-linking

    8.8. Other Resists

    8.9. Summary

    Chapter 9. Positive molecular resists

    9.1. Introduction

    9.2. General Characteristics

    9.3. Molecular Resist Families

    9.4. Current Status, New Concepts, and Challenges

    9.5. Conclusions

    Chapter 10. Mainstreaming inorganic metal-oxide resists for high-resolution lithography

    10.1. Metal-Oxide Resists

    10.2. Hydrogen Silsesquioxane

    10.3. High-Z Nanocluster Patterning

    10.4. Metal-Oxide Nanocluster Patterning Materials—Present and Future

    Chapter 11. Molecular organometallic resists for EUV (MORE)

    11.1. Introduction

    11.2. Survey of Simple Metal Complexes

    11.3. Bismuth Compounds

    11.4. Palladium and Platinum Compounds

    11.5. Tin Compounds

    11.6. Metal Oxalate Complexes

    11.7. Conclusions

    Chapter 12. SML electron beam resist: Ultra-high aspect ratio nanolithography

    12.1. Introduction

    12.2. Photomask Production

    12.3. Electron Beam Resist Optical Properties

    12.4. SML2000 Electron Beam Performance

    12.5. Pushing the Resolution Limits

    12.6. Summary

    Chapter 13. Alternative resist approaches

    13.1. Introduction

    13.2. Novel Approaches for EUV

    13.3. Conclusions

    Chapter 14. Next generation lithography—the rise of unconventional methods?

    14.1. The Ultimate Driving Force: Moore's Law

    14.2. Beyond Optical: State-of-the-art in NGL

    14.3. Beyond Scaling—Post Si-MOSFET/CMOS Technology

    Chapter 15. Tip-based nanolithography methods and materials

    15.1. Scanning Probe Lithography

    15.2. Scanning Probe Lithography Classification

    15.3. Increasing the Efficiency and Throughput of Scanning Probe Lithography

    15.4. Conclusion

    Chapter 16. Thermal scanning probe lithography

    16.1. History

    16.2. Advantages of Thermal Scanning Probe Lithography

    16.3. Patterning With Thermal Scanning Probe Lithography

    16.4. Pattern Transfer Processes From PPA

    16.5. Conclusions

    Chapter 17. Scanning helium ion beam lithography

    17.1. Introduction

    17.2. Helium Ion Beam System and Ion Solid Interactions

    17.3. Exposure of Resists in Helium Ion Beam Lithography

    17.4. Conclusions and Outlook

    Index

    Frontiers of Nanoscience

    Series Editor: Richard E. Palmer

    The Nanoscale Physics Research Laboratory,

    The School of Physics and Astronomy,

    The University of Birmingham, UK

    Vol. 1 Nanostructured Materials edited by

    Gerhard Wilde

    Vol. 2 Atomic and Molecular Manipulation edited by

    Andrew J. Mayne and Gérald Dujardin

    Vol. 3 Metal Nanoparticles and Nanoalloys edited by

    Roy L. Johnston and J.P. Wilcoxon

    Vol. 4 Nanobiotechnology edited by

    Jesus M. de la Fuente and V. Grazu

    Vol. 5 Nanomedicine edited by

    Huw Summers

    Vol. 6 Nanomagnetism: Fundamentals and Applications edited by

    Chris Binns

    Vol. 7 Nanoscience and the Environment edited by

    Jamie R. Lead and Eugenia Valsami-Jones

    Vol. 8 Characterization of Nanomaterials in Complex Environmental and Biological Media edited by

    Mohammed Baalousha and Jamie R. Lead

    Vol. 9 Protected Metal Clusters: From Fundamentals to Applications edited by

    Tatsuya Tsukuda and Hannu Häkkinen

    Vol.10 Structure and Properties of Nanoalloys edited by

    Riccardo Ferrando

    Copyright

    Elsevier

    Radarweg 29, PO Box 211, 1000 AE Amsterdam, Netherlands

    The Boulevard, Langford Lane, Kidlington, Oxford OX5 1GB, United Kingdom

    50 Hampshire Street, 5th Floor, Cambridge, MA 02139, United States

    Copyright © 2016 Elsevier Ltd. All rights reserved.

    No part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopying, recording, or any information storage and retrieval system, without permission in writing from the publisher. Details on how to seek permission, further information about the Publisher’s permissions policies and our arrangements with organizations such as the Copyright Clearance Center and the Copyright Licensing Agency, can be found at our website: www.elsevier.com/permissions.

    This book and the individual contributions contained in it are protected under copyright by the Publisher (other than as may be noted herein).

    Notices

    Knowledge and best practice in this field are constantly changing. As new research and experience broaden our understanding, changes in research methods, professional practices, or medical treatment may become necessary.

    Practitioners and researchers must always rely on their own experience and knowledge in evaluating and using any information, methods, compounds, or experiments described herein. In using such information or methods they should be mindful of their own safety and the safety of others, including parties for whom they have a professional responsibility.

    To the fullest extent of the law, neither the Publisher nor the authors, contributors, or editors, assume any liability for any injury and/or damage to persons or property as a matter of products liability, negligence or otherwise, or from any use or operation of any methods, products, instructions, or ideas contained in the material herein.

    Library of Congress Cataloging-in-Publication Data

    A catalog record for this book is available from the Library of Congress

    British Library Cataloguing in Publication Data

    A catalogue record for this book is available from the British Library

    ISBN: 978-0-08-100354-1

    ISSN: 1876-2778

    For information on all Elsevier publications visit our web site at https://www.elsevier.com

    Publisher: Joe Hayton

    Acquisition Editor: Simon Holt

    Editorial Project Manager: Sabrina Webber

    Production Project Manager: Mohanambal Natarajan

    Cover Designer: Alan Studholme

    Typeset by TNQ Books and Journals

    Contributors

    Panagiotis Argitis,     Institute of Nanoscience and Nanotechnology, National Center for Scientific Research Demokritos, Athens, Greece

    Stuart A. Boden,     Electronics and Computer Science, Faculty of Physical Sciences and Engineering, University of Southampton, Southampton, United Kingdom

    Elizabeth Buitrago,     Paul Scherrer Institute, Villigen PSI, Switzerland

    Brian Cardineau,     Inpria Corporation, Corvallis, OR, United States

    Guy A. DeRose,     Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA, United States

    Yasin Ekinci,     Paul Scherrer Institute, Villigen PSI, Switzerland

    Roberto Fallica,     Paul Scherrer Institute, Villigen PSI, Switzerland

    Andreas Frommhold,     School of Chemical Engineering, University of Birmingham, Birmingham, United Kingdom

    Marcus Kaestner,     Department of Micro- and Nanoelectronic Systems, Institute of Micro- and Nanoelectronics, Faculty of Electrical Engineering and Information Technology, Ilmenau University of Technology, Ilmenau, Germany

    Douglas A. Keszler

    Department of Chemistry, Oregon State University, Corvallis, OR, United States

    Inpria Corporation, Corvallis, OR, United States

    Yana Krivoshapkina,     Department of Micro- and Nanoelectronic Systems, Institute of Micro- and Nanoelectronics, Faculty of Electrical Engineering and Information Technology, Ilmenau University of Technology, Ilmenau, Germany

    Tero S. Kulmala,     SwissLitho AG, Zurich, Switzerland

    Richard A. Lawson,     Research Division, Milliken & Company, Spartanburg, SC, United States

    Scott M. Lewis,     School of Chemistry, The University of Manchester, Manchester, United Kingdom

    Patrick Naulleau,     Center for X-ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA, United States

    Dimitra Niakoula,     Heliosphera S.A., Tripolis, Greece

    D. Frank Ogletree,     Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, CA, United States

    Deirdre Olynick,     Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, CA, United States

    Philip C. Paul,     SwissLitho AG, Zurich, Switzerland

    Ivo W. Rangelow,     Department of Micro- and Nanoelectronic Systems, Institute of Micro- and Nanoelectronics, Faculty of Electrical Engineering and Information Technology, Ilmenau University of Technology, Ilmenau, Germany

    Alex P.G. Robinson,     School of Chemical Engineering, University of Birmingham, Birmingham, United Kingdom

    Adam Schwartzberg,     Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, CA, United States

    Xiaoqing Shi,     Electronics and Computer Science, Faculty of Physical Sciences and Engineering, University of Southampton, Southampton, United Kingdom

    James W. Thackeray,     Dow Electronic Materials, Marlborough, MA, United States

    Willem F. van Dorp,     Department of Imaging Physics, Delft University of Technology, Delft, The Netherlands

    Veroniki P. Vidali,     Institute of Nanoscience and Nanotechnology, National Center for Scientific Research Demokritos, Athens, Greece

    Andrew K. Whittaker,     Australian Institute for Bioengineering and Nanotechnology, University of Queensland, St Lucia, QLD, Australia

    Dongxu Yang,     School of Physics and Astronomy, University of Birmingham, Birmingham, United Kingdom

    Preface

    Alex P.G. Robinson and Richard A. Lawson

    The modern world is thoroughly populated with integrated circuits (ICs) and other microelectronic devices. The ubiquity of these devices has come about because their computing power has increased exponentially over time, while at the same time the cost per computing power has dropped due to shrinking the sizes of the transistors. The shrink in feature sizes has been enabled in a large measure by advancements in lithography, which is the technique used to print the circuit features on silicon wafers during IC fabrication. The critical pieces required for scaling are both the materials and the processes used for lithography.

    Currently there is significant worldwide research effort both industrially and in academia into next-generation lithography processes such as EUV, advanced e-beam, nanoimprint, scanning probe, and ion beam lithography. There is likewise similar effort in both arenas into next-generation materials for lithography including numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. This book therefore aims to bring together some of the world's foremost lithographic development scientists from the various communities to produce in one place a complete description of the many approaches to lithographic materials and process design, development, and characterization.

    Resist chemistry for next-generation lithography is likely to be quite distinct from the well-known process of photochemistry in current use. For noncontact methods the actinic radiation under consideration is typically far more energetic. This is discussed in Chapter 1 together with a brief introduction to resist chemistry in EUV and electron beam lithography, alongside a review of current resist processes. In Chapter 2, new insights into the mechanisms of EUV resist radiation chemistry are presented from the perspective of an examination of the fundamental interactions of soft X-rays with matter, photoionization, and molecular relaxation processes. In Chapter 3, the examination of the EUV resist mechanism is extended further via a detailed analysis of the interactions of low energy electrons in the resist film, identifying the many productive (and nonproductive) reaction pathways available.

    Chapters 4 and 5 investigate the process and patterning challenges facing EUV lithography—the most likely next-generation contender. In Chapter 4, readers are introduced to the technique of EUV interferometric lithography, which has been used to generate many of the experimental results seen in later chapters. General resist material challenges are then examined and potential strategies discussed. Chapter 5 introduces EUV optical lithography—using a mask rather than a grating for pattern generation—and a detailed analysis of the tool challenges faced by industry. One of the most significant problems in EUV lithography relates to stochastic variations, and this chapter introduces a new model to simulate these effects and identify areas of most concern. Finally the progress in commercial and research resists exposed on a mask-based EUV tool is reviewed.

    Chapters 6–13 address resist chemistry. Chapter 6 presents progress in resists that operate via a mechanism of chain scission. These are typically known as nonchemically amplified resists. While such systems are typically considered to be quite insensitive, new work on high-speed variants is presented. Chemically amplified systems for EUV lithography are reviewed in Chapter 7. While many chemically amplified systems are proprietary, and results in the literature often presented without significant chemical detail, this chapter seeks to identify the major recent trends in chemically amplified systems.

    To date the majority of resist systems have been based on polymeric materials. However, in the last two decades a significant amount of research into molecular resist systems has been undertaken. Chapter 8 examines a wide variety of negative tone molecular resist systems, primarily but not exclusively operating via cross-linking mechanisms, while Chapter 9 looks at positive tone molecular resist systems, via, for instance, solubility switch mechanisms. Another recent alternative to polymeric resists are inorganic resists. Chapter 10 introduces to the most widely used of the inorganic systems, hydrogen silsesquioxane (HSQ). The exposure mechanism is radically different to that of organic systems and is explained in depth, together with a review of processing. Chapter 10 also addresses an exciting new material class—high-Z nanocluster resists, examined via the prototypical hafnium peroxide sulfate. These depart in almost every way from the traditional paradigm of a photoresist, but nonetheless have demonstrated outstanding performance. Another novel approach that utilizes organometallic complexes is presented in Chapter 11. By selecting metal atoms of high EUV absorbance together with appropriate ligands to enable spin coating, and development, a number of high-speed EUV resists have been demonstrated.

    Chapter 12 takes a fundamentally different approach to resist development. A material initially developed to demonstrate high opacity in the UV, so as to allow its use as an electron-beam writable photomask is presented. Good results as a photomask are indeed demonstrated, but the resist has also been found to enable astonishingly high-aspect ratio electron beam patterning, primarily due to the very low-density nature of the film. Film density is something that is revisited in Chapter 13, as part of an examination of a selection of the other novel approaches that have been undertaken recently.

    The last section of the book addresses several new approaches to next-generation lithography. While EUV is covered extensively across the first 13 chapters, various other approaches are also under development. Chapter 14 presents a short review of the challenges and potential solutions of next-generation lithography. In Chapter 15, the topic of scanning probe lithography is examined in great depth, before focusing on electrical field interactions of a nanoprobe with resist, and approaches to scanning probe lithography throughput enhancement. Chapter 16 deals with thermal scanning probe lithography and introduces the new commercial thermal probe tool the NanoFrazor, from Swisslitho. Finally in Chapter 17, the recent development of the Scanning Helium Ion Beam Lithography tool and its application to nanolithography is discussed.

    Acknowledgments

    We would like to thank Professor Richard Palmer, the series editor of Frontiers in Nanoscience, for the opportunity to work on this project that seeks to compile a thorough description of the many current approaches to lithographic materials and process design. We would also like to thank all our colleagues whose hard work writing the chapters made this book possible. A great deal of thanks goes to Sabrina Webber, the editorial project manager at Elsevier, who was critically helpful through the end of the process and was exceedingly patient as we slowly delivered all the various parts of the book. In addition to Sabrina, Hannah Colford and Derek Coleman were of great help as editorial project managers in various earlier stages of the process and were particularly helpful as we got the project off the ground. Additional thanks also go to other people at Elsevier, including Simon Holt, Susan Dennis, Mohanambal Natarajan, and many more working behind the scenes. Finally, we would especially like to thank our families who were exceptionally patient with all the long hours put into writing and editing and without whose support the project would not have been completed.

    Alex P.G. Robinson and Richard A. Lawson

    List of abbreviations

    Chapter 1

    Overview of materials and processes for lithography

    Richard A. Lawson∗,¹ and Alex P.G. Robinson§     ∗Research Division, Milliken & Company, Spartanburg, SC, United States     §School of Chemical Engineering, University of Birmingham, Birmingham, United Kingdom

    ¹ Corresponding author: E-mail: richard.lawson@milliken.com

    Abstract

    Computers and other electronic devices are an integral and ubiquitous part of the modern world. One of the key drivers for the development, power, cost, and availability of these devices is the procedure known as lithography where the circuit pattern is written on the chip at the micrometer and nanometer length scale. This chapter provides an overview of the materials and processes that are involved in lithography. The various different lithographic exposure tools are reviewed and their capabilities are discussed along with comparisons of the advantages and disadvantages of each. The key material in lithography is the resist or photoresist. Resist figures of merit are defined and their importance is elaborated. The array of different resist families and types used historically, currently, and those under development are reviewed along with their benefits and weaknesses. Finally, some of the specific challenges and difficulties facing future resist materials and processes are discussed.

    Keywords

    Acid diffusion; Chemically amplified resist; EUV; Exposure tools; Lithography; Pattern collapse; Photoacid generator; Photoresist; Resist metrics

    1.1. Introduction

    The modern world is thoroughly populated with integrated circuits (ICs) and other microelectronic devices. These devices are present in all aspects of society and are integral to the running of government, business, health care, transportation, security, and even domestic tasks. Once found primarily in computers, ICs are now pervasive in almost everything one interacts with, including cell phones, automobiles, televisions, toys, even appliances such as refrigerators and coffee makers. They have changed the way people work, play, and communicate. The ubiquity of these devices has come about because their computing power has increased exponentially over time, while at the same time the cost per computing power has dropped. This performance and cost has been enabled by the ability of the IC manufacturers to double the number of transistors per chip roughly every two years.¹ This trend is commonly referred to as Moore's Law.²,³ Gordon Moore observed this trend in 1965, and he predicted this scaling would continue for another decade. It continued not only for another decade, but it has continued for over 50  years. It started as an observation on scaling, but then became an industry mandate.

    The doubling of the number of transistors per chip has been done by shrinking the sizes of the transistors. The shrink in feature sizes has been enabled in a large measure by advancements in lithography, which is the technique used to print the circuit features on silicon wafers during IC fabrication.⁴ A visual example of the scale of shrink is shown in Fig. 1, which shows the transistor dimension required to maintain Moore's law along with a cross section of the photoresist pattern required to produce these dimensions.⁵ Photoresists are the radiation-sensitive materials used for forming the relief patterns required to build up IC devices. As shown in Fig. 1, transistors have continued to shrink to where current feature sizes are sub-20-nm, and sub-10-nm features are only a few years away. As features rapidly approach molecular and even atomic length scales, a number of technological challenges have arisen that threaten to halt this steady progress, and thus the entire IC industry.

    Figure 1  Transistor dimension required to maintain Moore's law. The dark rectangles show the scaling of the photoresist pattern height and width required to produce these transistor dimensions. Reproduced from Lawson RA, 2011. Molecular resists for advanced lithography – design, synthesis, characterization, and simulation [Ph.D. dissertation]. Atlanta, GA: Georgia Institute of Technology. http://hdl.handle.net/1853/39601.

    The critical pieces required for scaling are both the materials and the processes used for lithography. Currently there is significant worldwide research effort both industrially and in academia into next-generation lithography processes such as extreme ultraviolet (EUV), advanced e-beam, nanoimprint, scanning probe, and ion beam lithography. There is likewise similar effort in both the industrial and the academic lithography arenas into next generation materials for lithography including numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. This book therefore aims to bring together some of the world's foremost lithographic development scientists from the various communities to produce in one place a complete description of the many approaches to lithographic materials and process design, development, and characterization. Resist chemistry for next-generation lithography is likely to be quite distinct from the well-known process of photochemistry in current use. For noncontact methods, the actinic radiation under consideration is typically far more energetic. This will be discussed in Section 1.3 of this chapter, together with a brief introduction to resist chemistry in EUV and electron beam lithography, alongside a review of current resist processes. In Chapter 2, new insights into the mechanisms of EUV resist radiation chemistry are presented from the perspective of an examination of the fundamental interactions of soft X-rays with matter, photoionization, and molecular relaxation processes. These processes can lead directly to chemistry not present at longer wavelengths, but also to the production of low energy electrons which are important for EUV resists. In Chapter 3 the examination of the EUV resist mechanism is extended further via a detailed analysis of the interactions of low energy electrons in the resist film, identifying the many productive (and nonproductive) reaction pathways available.

    Chapters 4 and 5 investigate the process and patterning challenges facing EUV lithography—the most likely next generation contender. Chapter 4 introduces the technique of EUV interferometric lithography, which has been used to generate many of the experimental results seen in later chapters. General resist material challenges are then examined and potential strategies are discussed. Chapter 5 introduces EUV lithography which uses more conventional optics, i.e., using a mask and projection optics rather than a grating for pattern generation, and a detailed analysis of the tool challenges faced by industry. One of the most significant problems in EUV lithography relates to stochastic variations, both due to the high energy per photon, and thus low photon number per dose, but also the variability of multicomponent resist materials pushed to the limits of their performance. Chapter 5 introduces a new model to simulate these effects and identify areas of most concern. Finally the progress in commercial and research resists exposed on a mask-based EUV tool is reviewed.

    From Chapter 6 onward, the book addresses resist chemistry. The first of these chapters presents progress in resists that operate via a mechanism of chain scission. These are typically known as nonchemically amplified resists, as one exposure event leads to a single chemical event in the resist. While such systems are typically considered to be quite insensitive, new work on high-speed variants is presented. Chemically amplified systems for EUV lithography are reviewed in Chapter 7. While many chemically amplified systems are proprietary, and results in the literature often presented without significant chemical detail, this chapter seeks to identify the major recent trends in chemically amplified systems.

    To date the majority of resist systems have been based on polymeric materials. However, in the last two decades a significant amount of research into molecular resist systems has been undertaken. Chapter 8 examines a wide variety of negative-tone molecular resist systems, primarily but not exclusively operating via cross-linking mechanisms, while Chapter 9 looks at positive-tone molecular resist systems, via, for instance, solubility switch mechanism (see Section 1.2 of this chapter for a discussion of resist tone). Another recent alternative to polymeric resists are inorganic resists. Chapter 10 introduces the most widely used of the inorganic systems, hydrogen silsesquioxane (HSQ). The exposure mechanism is radically different to that of organic systems and is explained in depth, together with a review of processing. Chapter 10 also addresses an exciting new material class: high-Z nanocluster resists, examined via the prototypical hafnium peroxide sulfate (HafSOx). These depart in almost every way from the traditional paradigm of a photoresist, but nonetheless have demonstrated outstanding performance. Another novel approach utilizing organometallic complexes is presented in Chapter 11. By selecting metal atoms of high EUV absorbance together with appropriate ligands to enable spin coating and development, a number of high-speed EUV resists have been demonstrated.

    Chapter 12 takes a fundamentally different approach to resist development. A material initially developed to demonstrate high opacity in the UV, so as to allow its use as an electron beam writable photomask is presented. Good results as a photomask are indeed demonstrated, but serendipitously the resist has also been found to enable astonishingly high aspect ratio electron beam patterning, primarily due to the very low-density nature of the film. Electron beam patterning resist features with aspect ratios far beyond anything that could be achieved in other resists are shown. Film density is something that will be revisited in Chapter 13, as part of an examination of a selection of the other novel approaches that have been undertaken recently. The chapter addresses novel approaches to EUV lithography, including low and high absorbancy films and novel nanoparticle-based resists.

    The last section of the book addresses several new approaches to next-generation lithography. While EUV is covered extensively across the first 13 chapters, various other approaches are also under development. Chapter 14 presents a short review of the challenges and potential solutions of next-generation lithography. In Chapter 15, the topic of scanning probe lithography is examined in great depth, before focusing on electrical field interactions of a nanoprobe with resist, and approaches to scanning probe lithography throughput enhancement. Chapter 16 deals with thermal scanning probe lithography and introduces the new commercial thermal probe tool the NanoFrazor from Swisslitho. Finally in Chapter 17, the recent development of the scanning helium ion beam lithography tool and its application to nanolithography is discussed.

    1.2. Overview of Lithography Process

    Lithography is the art and science of producing a pattern on a substrate. The term is used most often today in connection with semiconductor processing. Additional adjectives are often used to more specifically define the technique such as optical lithography or photolithography (using UV light to generate the patterns), imprint lithography (a mold is pressed or imprinted into a material to generate the patterns), e-beam lithography (electron beams are used to generate the pattern), or EUV lithography. The most commonly used form of lithography in high volume manufacturing is optical lithography and a generalized overview of the process is shown in Fig. 2.

    A highly simplified description of the process is as follows. The substrate (usually a silicon wafer) is usually coated with a thin film of a functional material or a multilayer stack of materials that could serve any number of roles such as low-k or high-k dielectric, etch-resistant hard mask, or conducting layer. Photoresist, which is a photosensitive material that is typically composed mostly of an organic polymer, is then spin coated onto the film stack. The term photoresist is often shortened simply to resist which is also a more general term for these types of materials; especially since resists for many alternative and future exposure sources would not be exposed to photons but rather electrons, ions, or other physical sources of a pattern.

    UV light is then shone through a mask, which selectively allows light through certain regions to generate a pattern on the resist. The mask has historically been made of quartz with chrome patterns on top that control where the light shines through the mask. The radiation pattern from the UV light induces chemical changes in the resist, which selectively modifies the solubility of the exposed regions in a liquid called developer. The wafer is commonly baked after this exposure step [called a postexposure bake (PEB)] to further induce chemical change in the exposed regions.

    The development step is next, and is where developer is poured or sprayed onto the resist and certain regions of the resist are dissolved, while other regions remain on the wafer. The exposed regions will either be dissolved or remain depending on the tone of the resist. Positive-tone resists are those where the exposed areas are dissolved during development; the solubility change is often due to chemistry that occurs during exposure or in the PEB which changes the polarity or functional groups of the resist. Negative-tone resists are those where the exposed regions are not dissolved during development and the exposed areas are dissolved instead. Solubility change in these materials can likewise occur by many different methods, although two of the most common are cross-linking to form high molecular weight network structures and changes in functional groups of the resist which affect polarity.

    Figure 2  Generalized diagram of the optical lithography process. The process starts in upper left and goes top-to-bottom and then the right side top-to-bottom. Resist is spin coated onto a substrate consisting of a silicon wafer and other deposited films. The resist is patterned through a mask to selectively expose areas on the resist and then the wafer is commonly baked on a hot plate. Development generates the three-dimensional relief pattern in the resist and that pattern is transferred into the substrate through an etch process.

    Finally, the remaining pattern in the developed resist is transferred into the underlying film stack using an etch process. This etch process could be a wet or chemical etch where liquid chemicals selectively remove the underlayer (UL) while having a minimal effect on the remaining photoresist. However, it is more common that the etching is done using plasma etching where high energy particles selectively etch the UL compared to the remaining resist. Plasma etching allows a high level of control and selectivity at both the nanoscale and wafer size scale by changing the various parameters in the etch chamber such as etch gases and species, voltage, bias on the wafer, pressure, and local wafer temperature, among many others. By controlling the time and type of gases used, plasma etching can go from isotropic to highly anisotropic etching profiles. Recent advances have even shown the possibility of atomic level control with the introduction of atomic layer etching.⁶–⁸

    After all the desired modifications are completed in the underlying film stack, any remaining photoresist is removed through a plasma or chemical stripping process. This lithographic process is then repeated (sometimes multiple times) for each layer of the device to allow for fabrication of complete ICs.

    1.3. Lithographic Exposure Sources and Processes

    When discussing lithographic imaging, it is important to specify the radiation source used to expose the resist and transfer the pattern. Although much of the lithography process is similar or the same with differing sources, it is important to understand the differences between each type of commonly used exposure source and how the choice of exposure source affects the choice and optimization of resist material. The most commonly used exposure sources are UV and deep UV (DUV) light generated by a mercury lamp or laser. Modifications in DUV lithography at 193-nm wavelength have led to a technique that is called immersion lithography. This exposure wavelength has been extended even further to smaller feature sizes through the use of double and multiple patterning as will be discussed later. Intense research and development has been carried out on the application of EUV lithography for future generations of IC manufacturing.⁹–¹¹ Electron beam (e-beam) as a source of exposing radiation has been used industrially for mask production for many years and is used commonly in research and development applications due to its very high resolution and ability to rapidly produce a wide variety of patterns. Work has also been carried out on use of ion beam lithography which uses focused beams of ions to pattern the resist.¹²,¹³ There have also been extensive developments in lithographic processes where the resist is not exposed to a radiation source, but instead is physically modified in some other way. Some examples of this include nanoimprint lithography¹⁴–¹⁸ where the resist pattern is made by pressing a mold into the resist to replicate the mold pattern or scanning probe lithography¹⁹–²² where the pattern is made by selectively scanning a nanoscale probe such as an atomic force microscope (AFM) tip over the surface of the resist and modifying the resist through thermal, chemical, or electrical changes. Each of these exposure sources and processes typically has its own specific type of resists with unique properties that allow them to work well for that source, although some resists can be used across multiple platforms. This section will focus on the sources and techniques and a more thorough discussion of resists will be given in Section 1.5.

    1.3.1. Ultraviolet Lithography

    UV lithography has been the most used exposure source in the semiconductor industry for decades and is commonly used in many other industries that require patterning such as flat screen televisions. Although it has been replaced by other techniques and exposure sources for the highest resolution features, it is still commonly used for multiple levels of patterning at feature sizes of 0.3  μm and larger.²³ The origin of the UV light is commonly a mercury arc lamp, which has its own unique spectral emission characteristics. Three of the strongest emission wavelengths in the UV are at 436, 405, and 365  nm, which are commonly referred to as g-line, h-line, and i-line respectively.

    There are three different setups that are commonly used to pattern with UV lithography: contact printing, proximity printing, and projection printing. In contact printing, the mask is placed in direct contact with the wafer and photoresist. Proximity printing is similar except that a small gap is left between the wafer and mask. Projection printing collects light from the lamp using a series of lenses and focuses it onto the mask. The light from the open areas of the mask are then collected by another lens, which projects the pattern onto the wafer. Contact and proximity printing tools are less expensive than projection tools because of the simpler setup that requires much less sophisticated optics and so are commonly used in research and development labs; however, projection lithography is by far the dominant method in high volume manufacturing because it has several advantages over the other two techniques.

    Resolution for a lithographic process is the smallest feature that can be successfully printed with acceptable quality and control.²⁴ Proximity printing works in the near-field (Fresnel) diffraction regime and is resolution limited by the wavelength of light (λ) and the gap between the resist and the mask (L, about 2–4  μm in practice. Contact printing improves resolution to about the order of the wavelength of the light (and potentially, if not practically, higher), but puts the mask and resist at high risk of damage and defectivity due to the direct contact. Contact and proximity printing both require masks that are the same size as the wafers, and mask features the same size as the features to be printed in the resist. Projection printing overcomes the defectivity issues because the mask is further away from the wafer and is protected by a pellicle,²⁵–²⁷ which prevents particles from landing on the mask. Projection printing can also use masks with features larger than the optical patterns at the wafer level because the process allows for the reduction of the mask image, typically a 4× reduction in the mask features; this allows for easier mask production. Finally, projection printing has the big advantage of higher intrinsic resolution. The optical resolution limit for far field (Fraunhoffer) diffraction limited projection lithography is given by the Raleigh criterion shown in Eq. (1) where λ is wavelength of radiation used, NA is the numerical aperture of the lens in the exposure system, and k1 is a process dependent factor that depends on a variety of factors and tool issues. For many projection tools, k1 is below 0.5 and NA is near 1 (or even greater for immersion lithography), so resolution is actually smaller than the wavelength of light (compared to several times greater than the wavelength for proximity printing).

    (1)

    1.3.2. DUV Lithography—248  nm and 193  nm, Immersion, and Multiple Patterning

    As feature sizes shrink, tool optimization generally reaches a limit meaning that k1 cannot shrink much more and NA cannot increase further. This means that resolution cannot be reduced unless the wavelength of light is reduced as shown in Eq. (1). This was a major driver for the investigation of DUV exposure tools in the 1980s–1990s because the lower wavelengths allow for better resolution. The first major commercial production of wafers using DUV exposure tools was in 1990 to produce wafers with a critical dimension (CD) of 0.9  μm.²⁸ Mercury lamps have a weak peak around 254  nm (around 10% or less the intensity of the g-, h-, and i-line peaks), and the use of Hg-Xe lamps extends the usable range down to 220  nm with a stronger peak at around 248  nm. However, industrial application of DUV is almost entirely done using excimer lasers to produce the light used for exposures. The earliest DUV exposure tools used a wavelength of 248  nm, which is produced by a KrF excimer laser. As these tools were further optimized, there was a transition to a lower DUV wavelength of 193  nm produced by an ArF excimer laser to further improve the ultimate resolution of the printing system. These tools use a series of quartz lenses and a mask similar to UV lithography to generate the aerial image, which is translated by the photoresist into a relief image. Significant research and development went into an even lower wavelength of 157  nm which used F2 excimer lasers, but it was ultimately abandoned due to difficulties finding a suitable replacement for quartz as a lens material.²⁹

    Given the lack of an easily accessible lower wavelength exposure tool at the time, an alternative approach was developed to further improve the resolution of 193-nm lithography and continue feature shrink. It has long been known that the resolving power of an optical microscope can be improved if the air gap between the objective lens and the sample is filled with a high refractive index oil. A similar approach was taken to implement so-called immersion lithography, and consists of introducing a fluid between the final lens and wafer.³⁰ This fluid, which has a higher refractive index than the air that is typically between the lens and wafer, changes the optical paths of exposure light and effectively results in a higher NA in Eq. (1). The NA is higher because NA  =  ni  sin  θ, where ni is refractive index and θ is the propagation angle of light.³¹ The NA of a dry exposure system does not go beyond 1.0; otherwise, the exposure light will be totally reflected back at the lens/air interface.³² Use of ultrapure water as an immersion fluid (index  =  1.44 at 193  nm) has allowed production of tools with 1.35  NA capability and a resolution down to 45-nm half-pitch.³³ This 45-nm half-pitch is approximately the current resolution limit for single optical patterning in production today. There was significant investigation into higher refractive index fluids than water, which would improve resolution even further, but these were never implemented because a technique called multiple patterning, which could provide superior resolution, was rapidly developed and employed first.³³

    Multiple patterning is a method that allows printing of patterns that are smaller than the single exposure lithographic resolution limit through the use of multiple process steps to print a single layer. Multiple patterning is the most general description of the process, but it was first used in the form of double patterning (equivalent to two single exposures) and then later quadruple patterning was developed and implemented (equivalent to four single exposures). The strict definition of the resolution, defined by the Rayleigh criterion in Eq. (1), relates to the separation, rather than the feature size, of two objects and thus when the pitch between objects is relaxed the feature size can be reduced further, for instance by aggressive resist processing, exposure dose bias, etc. By patterning in multiple steps, closely spaced features can be separated into different patterning steps—effectively relaxing the pitch. One way to describe multiple patterning is that it provides a way to continue scaling through the use of added process complexity (by requiring multiple repeats of an existing process) rather than added tool or technology complexity (such as by modifying optics, changing wavelength, etc.). This description is obviously oversimplified because specially designed tools will improve the process, but it illustrates that the method could, in theory, be applied with existing equipment. The application of multiple patterning as an industrial production process was in many ways a change in the paradigm of scaling that had driven the semiconductor industry for most of its lifetime.

    Although there are many different potential ways to implement double and multiple patterning,³⁴ only a few will be briefly reviewed here. One method is known as Litho-Etch-Litho-Etch (LELE) and it involves two lithographic exposure and etch steps to create a single layer. The method involves first depositing a film stack consisting of one or more hard masks onto the wafer. One exposure is done near the resolution limit of the tool and this pattern is etched into the underlying film stack. A second exposure pattern that is offset from the first pattern is then carried out and transferred into the UL. The combined pattern from the two different exposures is at double the pitch of each individual pattern. The process is demonstrated in Fig. 3. This process has an advantage in that it can, in theory, be applied to any general pattern, but it is highly sensitive to overlay error, which is the error between the alignment of each mask to the underlying pattern.

    Another commonly used method of double patterning is called self-aligned double patterning.³⁵ It involves the deposition of a conformal coating of a film onto a single resist pattern. The film can then be removed by a selective etch everywhere but on the sidewalls of the original resist. The resist is then removed and the remaining sidewall pattern will be at a smaller pitch and resolution than the original resist. Transfer of this remaining sidewall pattern into the substrate completes the process. This method is illustrated in Fig. 4. This process is slightly simpler than LELE and has less stringent overlay error requirements, but is generally limited to a more restrictive set of patterns such as alternating lines. This process can also be repeated a second time on the first sidewall patterns to effectively quadruple the original resist pitch in a process known as self-aligned quadruple patterning.

    Multiple patterning techniques using directed self-assembly (DSA) of organic materials such as block copolymers (BCPs) have received much interest.³⁵–⁴³ BCPs are polymers composed of two or more discrete blocks of homopolymers that are covalently joined together; some examples of BCPs include polystyrene-block-polymethylmethacrylate (PS-b-PMMA) or polystyrene-block-polydimethylsiloxane (PS-b-PDMS). BCPs are of interest because they can phase separate to length scales that are commensurate with desired lithographic patterns. DSA is the method whereby the self-assembly is spatially cued or controlled to produce lithographically useful phase-separated structures that are at a smaller pitch than is accessible from a single exposure step.

    Figure 3  Diagram of Litho-Etch-Litho-Etch process.

    Figure 4  Diagram of self-aligned double patterning (SADP) process.

    Several different ways to control DSA of BCPs have been investigated⁴⁴ such as graphoepitaxy,⁴⁵ chemoepitaxy,⁴⁶,⁴⁷ or various combination methods. A chemoepitaxy process is illustrated in Fig. 5. Conventional lithography is used to pattern an UL to produce areas with different chemical affinity for each block of the BCP. The different areas can be produced by chemical modification of the UL through plasma treatment or by selective removal of areas in the first UL and a deposition of another material such as a brush polymer. Finally, the BCP is coated onto the patterned UL and annealed. Because each block has different affinity for each area of the UL, specific blocks are aligned to create the directed self-assembled pattern. Much progress has been made on evaluating DSA as a potential method for pitch multiplication⁴⁸ and improving defectivity,³⁷,⁴⁹ however, it has not yet been implemented industrially for commercial semiconductor production.

    Figure 5  Chemoepitaxy process flow for directed self-assembly (DSA) of block copolymers (BCPs).

    1.3.3. Extreme Ultraviolet Lithography

    EUV lithography has received substantial attention in the last 10+ years as a next wavelength replacement for 193-nm DUV lithography.¹¹ Over this time, it has been predicted to be only a few years from commercial implementation multiple times, but has not yet been used in high volume manufacturing. Part of this delay is due to concerns over the production readiness of various aspects of the tool and process which will be discussed later, but also due to continued advances in multiple patterning which have kept pace with the technology roadmaps until now, although at a very high, and increasing, cost.

    EUV radiation covers the wavelength range from 10  nm up to about 120  nm and also can overlap with a region considered soft X-rays. The wavelengths that are the most lithographically interesting are in the range of 11–14  nm. Considering the Raleigh criterion shown in Eq. (1), this wavelength range would potentially represent a substantial improvement in resolution over 193-nm DUV; however, there are many important differences in the physics and tool design when the wavelength is dropped into the EUV. Since all materials absorb at these wavelengths, the system must be run in a vacuum rather than in air and transparent optics cannot be used in the way quartz can be used in the DUV. Fortunately, the use of multilayer film stacks of alternating materials can produce reflecting optics with reasonable efficiency.⁵⁰ The most commonly used EUV reflector stack consists of multiple alternating layers of molybdenum and silicon, which shows around 70% reflection efficiency with a peak wavelength of 13.5  nm.⁵¹

    EUV exposure tools for manufacturing will require multiple mirrors (6 to 12+) to project a sufficiently high fidelity image. Given the reflectivity of 70% for each mirror, only 1–5% of the generated photons will actually reach the wafer. This loss means that EUV light sources need sufficiently high power to provide the required wafer throughput to make EUV economically viable. Progress in EUV source power has been slow and has been among the top, if not the top concern/roadblock for implementation of EUV commercially. Current estimates suggest a source power of 250  W will be needed with long-term reliable operation. As of spring 2016, a lab demonstrated power of 200  W had been reported, but sources in actual field demonstration units were around 80  W.⁵² The low source power also means that EUV resists need very good sensitivity which will be discussed later.

    EUV masks have also required significant work. In addition to reflective optics, EUV uses a mask composed of a reflective multilayer. The reflective mask requires off-axis illumination and this combines with the effect of the thickness of the mask absorber to create shadowing effects,⁵³ which degrade pattern quality and must be accounted for in mask and system design. The EUV light that is reflected from a multilayer is some cumulative distribution of the reflections at interfaces between all the layers. This is important because it implies that any defects buried in the multilayer can have an effect on the projected pattern.⁵⁴,⁵⁵ These defects will be difficult to find using conventional defect analysis because they will be very small scale and potentially invisible to many inspection methods as they are buried. As a result, a specialized technique had to be developed even to inspect EUV masks for defects based on actinic inspection.⁵⁶–⁵⁸

    Although EUV can be considered a form of optical lithography, the interactions of an EUV photon with a resist are significantly different than a DUV photon, due to the much higher energy at EUV and the typically higher absorbance at EUV. An incident 13.5-nm EUV photon has about 92  eV of energy compared to 5.0 and 6.4  eV for the DUV wavelengths of 248 and 193  nm, respectively. This energy difference means there is a significantly different photon flux onto the resist at equivalent doses. There are approximately 10  photons/nm² at EUV for a dose of 15  mJ/cm², while there are 146  photons/nm² at the equivalent dose at 193  nm. At DUV, the photon is absorbed by the resist and it temporarily excites an electron in a molecule to an excited state before returning to the ground state. When the 92-eV EUV photon is absorbed by the resist, a photoelectron of about 80  eV (on average) is generated.⁵⁹ The photoelectron will scatter through the resist and generate more secondary electrons (around two to five total secondary electrons for typical organic resists) in a manner similar to the cascade of secondary electrons generated by scanning electron microscope (SEM) or e-beam lithography interactions. The chemistry and physics that occur in a resist during EUV exposure are therefore as much related to e-beam exposure as they are related to DUV exposure, although it is ultimately a combination of the two.

    1.3.4. E-Beam Lithography

    E-beam lithography uses a focused beam of electrons to directly expose a resist. The electron gun is often a tungsten thermionic emission cathode.²³ The beam is focused onto a very small spot size that can be less than 10  nm using a series of condenser lenses and beam deflection coils. Compared to UV, DUV, and EUV lithography, e-beam lithography is a maskless form of lithography in that it requires no mask because the deflectors and coils allow arbitrary deflection of the finely focused beam. Indeed, e-beam lithography is the technique that is most commonly used to pattern the masks used in other forms of lithography. The flexibility of e-beam allows almost any arbitrary geometry to be programmed and patterned, although certain applications that require high fidelity and alignment in circular patterns such as bit-patterned media will use more specialized tools that allow for a circular rotating stage.⁶⁰,⁶¹

    Among the many different types of commonly used lithography, e-beam is widely considered to have the highest resolution, especially when using 50 to 100+ keV primary electrons. Features as small as 5  nm and below have been fabricated using e-beam lithography.⁶² Although e-beam lithography has resolution capability that far exceeds current production DUV tools, it has significantly slower throughput, which limits its use in high volume commercial manufacturing. Throughput for a modern optical lithography scanner exceeds well over a hundred wafers per hour. A standard single beam e-beam tool can take several hours to expose a single wafer and up to several days for some complex masks or templates. This time will be longer as the desired spot size is decreased to improve resolution. Patterning in e-beam can also take more time because the highest resolution resists are often nonchemically amplified resists which require much higher doses than the chemically amplified resists (CARs) commonly used in optical lithography. The low throughput has historically limited e-beam lithography to mask making and research and development purposes; however, work has been carried out and continues on methods and tool designs which could improve throughput such as projection e-beam,⁶³–⁶⁶ multiple-beam,⁶⁷,⁶⁸ shape-variable beam,⁶⁹ and reflective beam.⁷⁰ Multiple-beam e-beam lithography is potentially the most advanced option at this time, and improves throughput by massively parallelizing the beams that pattern the wafer.⁷¹

    The most important radiation–material interaction in optical lithography at UV and DUV wavelengths is direct photon absorption to temporarily excite a molecule to an excited state as discussed earlier. In e-beam lithography, the radiation–material interaction is very different and is in many ways significantly more complex.⁷² High energy primary electrons (typically 50–100  keV) enter the top surface and scatter through the resist by a series of elastic and inelastic collisions. The inelastic collisions cause a loss of energy and generate multiple secondary electrons. The secondary electrons can likewise scatter through the resist with similar physics and generate even more additional free electrons. In this manner, a single incident electron can generate a large cloud of electrons. This cloud of electrons often has a teardrop-shaped interaction volume with the resist as shown in Fig. 6. The electron trajectories and secondary electron generation can be simulated using Monte Carlo techniques.⁷³,⁷⁴

    The electrons which scatter through the resist as described earlier and shown in Fig. 6 can be considered forward scattering of the electrons because most of the electrons are scattered at small angles forward into the resist. There is another scattering phenomenon that is important in e-beam lithography called backward scattering. This occurs when electrons are scattered at much higher angles from the substrate backward into the resist. Backward scattering is more likely when electrons encounter higher Z elements as are commonly found in the inorganic substrates. It is a concern because its effects are felt over a much larger dimensional range, up to microns away from the incident beam rather than the nanometer length scale for forward scattering. Backward scattering tends to cause dense features to receive a higher effective dose than sparse features because the backward scattered electrons from neighboring features contribute to the total dose while the sparse features have few neighbors. This is known as the proximity effect⁷⁵ and proximity effect correction⁷⁶,⁷⁷ is a method that attempts to correct this effect by using models that estimate the effective dose as a function of geometry, and then change the programmed dose at each location to obtain the desired final deposited dose.

    Figure 6  Monte Carlo simulated trajectories of electrons into a bulk resist film. Reproduced from Lawson RA, 2011. Molecular resists for advanced lithography – design, synthesis, characterization, and simulation [Ph.D. dissertation]. Atlanta, GA: Georgia Institute of Technology. http://hdl.handle.net/1853/39601.

    The scattered electrons can have many different chemical and physical effects on the resist. Electrons are of a sufficient energy that they can cause direct bond cleavage of some groups. This type of reaction drives the solubility transition in some resists where insoluble higher molecular weight polymers are reduced to soluble lower molecular weight molecules by bond cleavage. Electrons may also cause cross-linking in other groups, which gives a negative-tone-type behavior as less soluble larger molecular weight species are formed. When the electrons get much lower in energy (<10–20  eV), they can undergo additional types of reactions and can be scavenged by some compounds in the resist. This can lead to excited state compounds that can carry out further reactions that are potentially similar to DUV excited state reactions.⁷⁸ This change in imaging mechanism will be discussed further in later sections.

    1.3.5. Other Lithography Processes—Ion Beam, Scanning Probe, and Nanoimprint

    Beyond the most commonly used lithographic techniques discussed earlier, there are many other methods that have been investigated as well such as ion beam lithography, nanoimprint lithography, and scanning probe lithography. These techniques offer certain advantages and disadvantages compared to more conventional lithography.

    Ion beam lithography is a technique that uses focused ion beams to pattern a resist.¹²,¹³ The technique has many similarities to e-beam lithography, but the ions are significantly higher mass than the electrons. As a result, there are many more secondary electrons generated per incident ion than the equivalent incident primary electron in e-beam, which improves throughput. In addition to this, ion beam also has the advantage in that is does not suffer from long-range backscattering, so proximity effects are negligible. Heavy ions have a relatively large spot size that prevents comparable resolution to e-beam, but use of light ions such as helium allow much higher resolution. Recent improvements in ion sources and columns have greatly reduced the spot sizes possible, which have allowed for resolutions in helium ion beam lithography comparable to the best demonstrated by e-beam.⁷⁹ The advantages and disadvantages of ion beam over conventional optical lithography are similar to e-beam. It is a maskless form of lithography, which allows high flexibility for arbitrary patterns (compared to optical lithography techniques, which require a mask) and its resolution is determined by the diameter of the ion beam and not the wavelength of light. Its main disadvantage is low throughput due to the serial nature of patterning, but it can possess a one-to-two orders of magnitude higher sensitivity than e-beam.⁸⁰ Rapid progress in helium ion beam has encouraged extensions to other ion beams

    Enjoying the preview?
    Page 1 of 1