Documenti di Didattica
Documenti di Professioni
Documenti di Cultura
HERNÁNDEZ GARCIA
C.R.O.D.E CELAYA
entity sumador is
port (A,B: in bit_vector (3 downto 0);
Cin: in bit;
Cout: out bit;
SUMA: out bit_vector(3 downto 0));
end sumador;
library ieee;
use nombre_librería.nombre_paquete.all;
por ejemplo:
use IEEE.STD_LOGIC_1164.ALL;
Verifique que la
casilla Add to
project este
seleccionada, para
adicionar el
archivo fuente al
proyecto
Q = estado presente
o actual
Q(t+i) = estado futuro
o siguiente
entity Div2 is
PORT (Clk50Mhz: IN STD_LOGIC;
Clk: OUT STD_LOGIC);
end Div2;
entity Circuito is
port (a,b,c: in std_logic;
y: out std_logic);
end Circuito;
entity maquina is
port( x: in
std_logic_vector (1
downto 0);
clk: in std_logic;
reset: in
std_logic;
z: out std_logic);
end maquina;
begin
case actual is
when alfa=> if x(1)=’0’
then proximo <=
beta;
else proximo <=
alfa;
end if;
when beta=> if x(0)=’0’
then proximo <=
delta;
else proximo <=
gama;
end if;
when gama=> proximo <=
eta;
when delta=> próximo <=
eta;
when eta=> próximo <=
alfa;
end case;
end process;