Documenti di Didattica
Documenti di Professioni
Documenti di Cultura
Anisha Nanda
Agenda
Quartus Prime Design Suite Product Details
Customer Beta Registration Process
Stratix 10 Early Access software
Arria 10 Timing Model Update
MAX 10 Update
Quartus Hierarchical Design
Timing Closure & TimeQuest
BluePrint
SoC Embedded Features
IP Cores
Highlights
First release of Quartus Prime Software Pro Edition
Public Beta in v15.1
Web Edition
Subscription Edition
Standard
Pro
New
$2,995
$2,995
$3,995
Renewal
$2,495
$2,495
New
$3,995
$3,995
Renewal
$2,495
$3,295
New
$945
$1,995
Renewal
$945
$1,695
Software
Products
Fixed
Float
ModelSim
Quartus Prime
$3,395
Effective
$4,995
with
$4,295
v16.0
$1,995
Release
$1,695
Standard
Edition ($)
Pro
Edition ($)
CV, CIV,
M10, MV, MII
SV, SIV,
A10, AV, AII,
CV, CIV,
M10, MV, MII
A10
(S10 coming
soon)
New TimeQuest
FastForward Compile
EA
16.0
Feature Support
1. Targeted
Device
2. Spectra-Q
Productivity
Features
Device Support
BluePrint
New Synthesis
Rapid Recompile
Only 28nm
SignalTap Postfit
OpenCL (A10)
Only 28nm
Incremental Optimization
EA
Partial Reconfiguration
EA
7% Higher Fmax
Arria 10 v15.1 vs v15.0
Out of the Box
7% Higher
13%
HigherFmax
Fmax
vs v15.0
Arriav15.1
10 v15.1
vs v15.0
Out of the Box
High Effort & Physical Synthesis
Windows 7
SP1 (32bit)
Windows
8.1
(64bit)
Stand-Alone Programmer
ModelSim-Altera
(requires 32-bit libraries)
Red Hat
5.10
(64bit)
Standard
and Lite
Editions
Only
NEW in
15.1
Windows
8.0 Only
32 and 64
bit
Red Hat
6.5
(64bit)
Windows
Server 2008 R2
SP1
(64bit)
Design
Entry
(RTL)
Standard
Arria 10
Compile
Fast
Forward
Compile
Report
Predicted
Stratix 10
Fmax
Report how to
increase
performance
further
23
Documentation
New Fast Forward Compile User Guide
Integrates AN 714,715,716 and RTL design
guide/cookbook
+
26
Derivative
Devices
Device LE Count
1150/ 900
660/ 570
480
320/ 270
220/ 160
15.0
P
P
A
A
A
15.1
P+
P+
P
P
P
16.0
F
P+
P+
P
P
16.1
F
F
F
F
F
Note: Military grade devices are P status in v15,1 & v16.0, and go directly to F in v16.1.
27
MAX 10 Update
Rich Howell
28
OPN updates:
C8 temp / speed grade OPNs added for device support consistency
F variants removed
ECC (DDR3/2), Advanced Bank Management, Data Reordering, Self Refresh, Auto Power
Down, Dynamic Input Buffer disable
29
NIOS: Flash accelerator for operating from UFM (was beta in v15.0)
16550 UART Max 10 support
I2C Master/Slave peripheral
QSPI x1 support in Max 10 (Linux drivers)
30
31
NEW
LogicLock Plus
LogicLock
Standard only
No
Yes (using Quartus
(Yes - using Spectra-Q
Incremental
-in 16.0/ 16.1)
Compile)
Yes
Yes
No (Yes in 16.0)
No
Yes
Yes
Speedup
(Rapid Recompile versus Full Compile)
Flow
HDL Change
SignalTap: Changing PostFit tap points
33
Synthesis
Fit
Total
2.2x
2.7x
~2x
Not Applicable
3.6x
~3.6x
Map/Syn
Floorplan
Place
quartus_sh --implement
34
Route
Post Route
(Hold Fix)
Finalize
quartus_fit --post-route=hold_fixup
-5
-10
-15
Hold TNS (ns)
-20
-30
Before Fixup
35
After Fixup
Check Pointing
TimeQuest menu:
Netlist Create Timing Netlist
Command line:
quartus_sta --snapshot=[planned|
placed]
36
37
39
Summary reports
available
40
Click to run
report_timing to see
details of paths
The worst-case slack
and the number of paths
from/to that point of the
hierarchy
Click a link to rerun the
report to/from that
hierarchy
Clock-Domain-Crossings Verification
Quickly verify paths that are deemed
asynchronous transfers/clocks
Validate paths affected by set_clock_groups SDC
command.
Run report_exceptions -report_clock_groups
Adds a section of the report for each set_clock_groups
Summary and detailed-paths-list views are available
42
BluePrint
Rama Venkata
Quartus Standard
INI hidden version of BluePrint available for use in Quartus Standard
INI will be provided only to existing A10 customers unable to migrate
BluePrint will not be available in Quartus Standard after 15.1
44
45
46
I
U
G
d
n
a
y
lit
i
b s
Selection of location in graphical viewawill
s ntree
t
Select associated design element inudesign
e both design element and location
e viewfor
Show information in info property
r
m
o ve
m
Double-click Placement
column
o to zoom-to
y
r
n
p
Selection history a
m
i
m
Available via forward
d
/ back buttons on info property view
n
a
Mouse rollover
47
SoC EDS
SoC EDS 15.1 has incremental improvements and bug fixes.
Improved productivity with A10 SoC FPGAS
Other updates
Cygwin Embedded Command Shell upgraded to version 2.0.1
Angstrom RootFS upgraded to v2014.12
ARM baremetal gcc toolchain upgraded to gcc version 4.9.2
49
50
UEFI Bootloader now provided for nonGPL option instead of Minimal Preloader
(MPL) due to larger OCRAM
51
Jul
Aug
Sep
t
2016
Oct
Nov
Dec
Jan Feb Ma
r
Apr
Ma
y
S-10 SoC VP w/
Initial
Production Release
Linux
S-10 SoC VP w/
Linux
Beta
Release (Limited features)
A-10 SoC VP w/
Production
Linux Release (Dual Core, USB, I2C, QSPI Linux Host)
A-10 SoC VP w/
Linux
Beta
Release (Limited features)
52
New IP Features
Juwayriyah Hussain
Agenda
General IP productivity improvements
Completed/validated out of the box IP evaluation
New Example Design GUI
Dynamically generated out-of-the-box evaluation
IP infrastructure updates
New synthesis engine
New IP upgrade status bar in Project Navigator
Individual IP Updates
EMIF, PCIe, Ethernet, etc.
54
55
IP Infrastructure Improvements
Quartus Prime Pro uses new synthesis engine Spectra-Q
This new engine uses industry standard Verilog configurations
A new library scheme for standalone IP has been introduced to
avoid ambiguous entity definitions
56
IP Integration Improvements
IP upgrade notification added
visual indication in Project Navigator
to notify users of required and
recommended upgrades
Required IP upgrades: Red
Recommended: Yellow
57
Individual IP Upgrades
EMIF
PHYLite
58
59
EMIF Upgrades
New features and protocols
Hardware verified
DDR x4 LRDIMM Added backside DB buffer calibration
QDR II & QDR+Xtreme
QDRIV Pushed performance from 800 MHz to 1066 MHz
61
Custom Slack
Recoverable
62
Dropdown box to
choose
63
64
Easy configuration
66
67
68
Ethernet
1 / 2.5 / 10G MAC + PHY
New IP demonstrated 46% area improvement and 54% latency
improvement over legacy 2.5G IP.
2.5G Ethernet offers 2.5x bandwidth performance over 1G with
dynamic reconfiguration. No changes to physical system i.e.
wires, devices, etc.
JESD204B
Support up to 13.5 Gbps for Arria 10
Arria V SoC new out-of-the box example design
ARM based control plane
70
DVB-S2 encoder
WiMedia 1.5 encoder/decoder
DOCSIS 3.1 decoder
GSFC-STD-9100 decoder
New TURBO
Supports UMTS & LTE
71
Turbo
10-6
(target)
802.16e
10-400G
Ethernet
DVB-S2
10-12
NAND
Flash
100Mb/s
72
HSRS
Wifi,
WiMAX
802.11n/ac
DVB-S
10-9
RSII
LDPC,
Turbo
UMTS
LTE
BER
RSII+Viterbi
Viterbi
LDPC+BCH
1Gb/s
BCH
LDPC+BCH
10Gb/s
100Gb/s
Throughput
1Tb/s
Video IP Interfaces
SDI
RX_format for 6G/12G-SDI redefined to have each stream
reports its own detected rx_format.
For example, when receiving 2160p60 in 12G-SDI, all 4 streams are
expected to report 1080p60
DisplayPort
Resolution up to 4Kp60
MST. 1, 2, 3 and 4 streams on Stratix V and Arria 10. MST 2
streams on Arria V
HDMI
Resolution up to 4Kp60
Both progressive and interlace
HDMI mode and DVI mode
73
Device support
Arria 10 support continues on limited IP
See user guide for details
74
Additional Resources
Spectra-Q
Partial Reconfiguration
BluePrint
Spectra-Q Synthesis
Thank You