Sei sulla pagina 1di 13

IMPLEMENTATION

OF DIGITAL LOCK
USING VHDL
MINI PROJECT TE-J DIV
SUSHINDRAN VIJENDRAN
VAIBHAV TRIPATHI
YASH CHAURASIA
DINESH TELI

PROPOSED CONCEPT OF THE


DIGITAL LOCK
The circuit we are going to implement here is an
electronic combination lock for daily use. It
responds only to the right sequences of four digits
that are entered. If a wrong combination is
entered, it resets the lock. The lock code can be
set by connecting the line wires to the input bits.
For example, if the code is 3011, connect line 3 to
1st bit, line 0 to 2nd bit, line 1 to 3rd bit, line 1 to
4th bit and rest of the lines0, 2, 3, 4, 8, and 9to
the next 6 bits, making 10 input lines to the lock,
where the user only knows that pin is just 4 bits.

The circuit is made with four D- Flip-flops.


The clock pins of the four flip-flops are
connected to the 4 bits which is the
password of the lock. The six remaining
inputs are connected to reset circuit which
resets all the flip-flops.
Touching the key pad switches correctly
(i.e...The correct password) briefly pulls the
clock input pin high and the state of flipflop is altered. Thus, if correct clocking
sequence is followed then high output
occurs which unlocks the system.

ADVANTAGE OF THE SYSTEM


One major advantage of the above circuit is
that it provides high security by misguiding a
person who is trying to hack the lock by the
number.
Here a person who knows the password will
only enter the code, which is he will press
only four bits of code and will have access.
But a person who is trying to break the lock
will never know that the numbers of bits in
the password are 4, because the numbers of
inputs to be entered are 10.

The circuit will only work when 4 bits of


the password are pressed, but when more
than 4 bits are pressed, it will cause the
output of the OR gate to be high and this
high signal is given to the flip flops in the
feed back and will reset them.
So, there is high probability that the
hacker will always enter more than 4 bits
(since he doesnt know that there are 4
flip flops corresponding to 4 bits of code)
and every time the circuit is reset.

WORKING OF THE CIRCUIT


Consider that the password of the circuit is
1234.These particular switches are connected
as the inputs of the D flip flops respectively. On
pressing the above switches in sequence will
lead to a high output, else the output is low.
Let as assume that a person who is trying to
break the lock presses 4321.In this case the
output of the fourth flip flop is high since fourth
switch is connected to the corresponding fourth
flip flop. The output of this D flip flop is ANDED
with negated output of third flip flop which is
high due to default settings.

Hence the output of AND gate is high


which leads to a high output at the OR
gate and this signal is given as feed back
which resets all the flip flops.
So therefore this system works for only
one password.
Apart from numbers, letter and
alphanumeric characters can also be
used to set the password.

REFERENCE:SC

TRUTH TABLE
D1 D2 D3 D4 Q1 Q1BAR Q2 Q2BAR Q3 Q3BAR Q4 Q4BAR
1

TRUTH TABLE FOR AND 3


D_Q1

D_Q2

D_Q3

D_Q4

OUT_A3

1N
RESET FF

1N
RESET FF

TRUTH TABLE FOR AND 1

TRUTH TABLE FOR AND 2

TRUTH TABLE FOR AND 3

TRUTH TABLE FOR MAIN OUTPUT

Potrebbero piacerti anche