Sei sulla pagina 1di 27

Chemical mechanical polishing

of poly-crystalline diamond
synthesized by MWP-CVD
By
G.Bharadwaj S.G.K.S
Kakatiya Institute of Technology and Science
Warangal
Telangana
Under
Supervision of
Awadesh Kr Mallik
Bio-Ceramics and Coatings Division
CSIR-Central Glass and Ceramic Research Institute
Kolkata

Diamonds are Forever

OUTLINE
Introduction
Experimental
Results
Conclusion

INTRODUCTION
Natural
Basic

Diamond

Facts

Formation
Need

for Artificial synthesis

INTRODUCTION
Artificial Diamond : Diamond synthesized in

laboratory or by inducing natural conditions


Methods of Synthesis

1). HPHT
2). CVD
CVD : Refers to deposition of thin films on so

chosen substrates from its gaseous derivatives


under compatible conditions viz. suitable
temperature and pressure.

CVD SCHEMATIC

PRE- FABRICATION

Selection of substrate

Selection CVD process

Operation parameters

SAMPLE PREPARATION

Seeding or pre treatment of substrate


: Substrate is agitated in a ultrasonic
bath in sample of acetone/methanol
to get rid of impurities. Later
substrate is treated in DND slurry
effective nucleation.

Diamond film deposition

SAMPLE FABRICATION

DT1800
Process Parameters
Substrate Temperature: 7509000C
H2:CH4: 1:99
Pressure : 110-125 Torr
Microwave Frequency : 915
MHz

EXPERIMENT
Sample

fabrication
Chemical Mechanical Polishing
Characterization
1. Scanning Electron
Microscopy(SEM)
2. Laser Profilometer(CSI)
3. Raman Spectroscopy

EXPERIMENT OBJECTIVE
Chemical

mechanical
Planarization
Degree of Differential Polishing
Comparative study of Quality of
Diamond
Comparative study of Surface
Roughness

CMP
What

is CMP ?
Planarization: Refers to Planarization
is
a
process
that
involves
smoothening
and
flattening
of
surface
and
thereby
removing
surface topologies
Principle : Mechanical Abrasion and
Chemical etching
Description
Advantages

CMP

CHEMO-MECHANICAL
POLISHING
CETR-CP4
Process

Parameters
Wafer Pressure : 0.5-2.0 psi
Platen velocity : 100-150
rev/min
Wafer Velocity (Rotary) : Idle
Slurry/Water :1/8
Slurry flow rate : 10 mL/min

LASER PROFILOMETER
Objective

: Provides quantitative
maps of surface topology in a 3D
view. It gives information about
surface roughness of exposed area
Principle : Diffuse reflection, Phase
change
Description
Advantages

LASER PROFILOMETER
Bruker

Inc
Processing parameters
Objective lens : 10x
Area :
0.866*0.6(0.529mm2)

SCANNING ELECTRON
MICROSCOPY
Supra

35VP,Carl Zeiss
Objective : To understand the
microstructure of given
Conducting Sample
Principle : Analogous to Cathode
ray tube
Advantages

RAMAN SPECTROMETER
Make

: Star 500 series


Objective : To Obtain Quality of
sample and appreciate its
deviation from ideal case
Principle : Polarization ,
Scattering of photons
Advantages

RESULTS

Raman Data

SEM Data

CSI Data

RAMAN DATA
Quality

of Diamond :

Id/Ig+Id
I : Intensity of
d
Diamond peak
I : Intensity of
g
Graphite peak
Stress:
Uniaxial =0.347()
Bi-axial = 0.528()
*b : Before CMP
*a: After CMP

Sampl
e

Stress(b Q(b*)
*)

Stress(a Q(a*)
*)

0.9661

59.52
%

62.7%

0.8953

56.65
%

62.7%

RAMAN DATA

CSI DATA
Drastic

decrease
in
Ra
Differential
Polishing observed

Sample

Ra(b*)

Ra(a*)

0.528m

0.265m

0.535m

0.453m

3
4

SEM DATA

CONCLUSIONS
CMP

is compatible for diamond


polishing
Quality of diamond is improved
Average roughness of surface is
greatly reduced
Raman peak is more definite

ACKNOWLEDGEMENTS
Sincere thanks
To
Mr. Awadesh Kr Mallik
Dr. Vamsi Krishna Balla
And
All the staff of BCCD division
Especially
Mr. Nandadulal Dandapat

REFERENCES

Diamond Films Handbook; Jes Asmussen & J.K. Reinhard


Deposition and Characterization of Diamond-like-Nano composite
Coatings Grown by Plasma Enhanced Chemical Vapor Deposition Over
Different Substrate Materials; Awadesh Kr mallik et al
Handbook of Deposition Technologies; Peter K. Martin; 3Ed
Chemical-Assisted Mechanical Polishing of Diamond Film on Wafer;
H.Hocheng and C.C.Chen
SIMTech Technical Report (PT/01/003/JT);Chemical Mechanical
planarization; Dr. Wang Zhengfeng Et al
Proceedings of 2nd winter education Seminar, Czech Republic;
Diamond Chemical vapor Deposition; Alexander Kromka
ASM handbook, Volume 10-Metals characterization; Jeanne E.
Pemberton and Anita L. Guy
Evaluation of the measurement performance of a coherence scanning
microscope using roughness specimens; Vivek G. Badami et al.
Material Science of thin films by Milton Ohring

Potrebbero piacerti anche