Sei sulla pagina 1di 39

MULTIPLEXORES Y DEMULTIPLEXORES

Introduccin
Los circuitos MSI son los que estn constituidos por un nmero
de puertas lgicas comprendidos entre 12 y 100. Estos circuitos
combinacionales se utilizan mucho en electrnica digital y son la
base para la creacin de diseos ms complejos. Entre ellos
tenemos los siguientes circuitos:
Multiplexores y demultiplexores
Codificadores y decodificadores
Comparadores
Lo ms importante es comprender para qu sirven, cmo
funcionan y que bits de entrada y salida utilizan.
Un Multiplexor o Selector de datos es un circuito lgico que acepta varias
entradas de datos y permite que slo una de ellas pase a un tiempo a la
salida. El enrutamiento de la entrada de datos hacia la salida est controlado
por las entradas de seleccin.
El multiplexor, tambin conocido como MUX, acta como un conmutador
multiposicional controlado digitalmente, donde el cdigo digital aplicado a las
entradas de seleccin controla cules entradas de datos sern conmutadas
hacia la salida.
Multiplexores
Los multiplexores son representados en diagramas de bloques como
trapezoides issceles. A continuacin se muestra el esquemtico de un
multiplexor de dos entradas y una salida con su respectivo bit de seleccin.





A continuacin se muestran los smbolos esquemticos de los multiplexores
de 4 a 1, 8 a 1 y 16 a 1, con sus respectivas lneas de seleccin.

Multiplexores
El multiplexor ms simple es el que slo tiene una entrada de
seleccin, S, que permite seleccionar entre dos entradas de datos,
segn que S=0 S=1.





La funcin F que describe el comportamiento de un multiplexor con una nica
entrada de seleccin, se describe mediante la siguiente tabla:
Multiplexores con una entrada de seleccin
Smbolo
S

F

0 I
0
1 I
1
Como el multiplexor tiene 2 entradas
de seleccin, se podr seleccionar
hasta 4 entradas de datos. El circuito
es como el siguiente:








Hay 4 entradas de datos y 2 entradas
de seleccin, en total 6 entradas.
Multiplexores con dos entradas de seleccin
Describiremos al multiplexor
mediante la siguiente tabla de
verdad:





S
1
S
0
F

0 0 I
0
0 1 I
1
1 0 I
2
1 1 I
3
A veces pueden verse en forma rectangular asemejando el circuito integrado
que representa. Por ejemplo:





Veamos ahora la implementacin de multiplexores a nivel SSI. Para el caso de
un multiplexor de 2 entradas y una salida.





Multiplexores
Es un circuito combinacional que posee 2
n
canales de entrada, n entradas de
seleccin y uno de salida , para hacer posible la seleccin de cualquiera de
los canales de entrada y que su nivel lgico se presente en el canal de salida.








En general, en un multiplexor tenemos dos tipos de entradas:
Entradas de datos
Entrada de seleccin
2
n
n
E
Multiplexores
Multiplexor de 4 canales
de entrada, de 1 bit
Multiplexor de 4 canales
de entrada, de 2 bits
Los multiplexores y en general la mayora
de circuitos MSI, disponen de una entrada
de validacin, que funciona como
interruptor de encendido/apagado. Si la
entrada de validacin est activada (E=1)
el circuito funcionar normalmente. Pero
si est desactivada (E=0), el circuito
sacar el valor 0 por todas sus salidas,
independientemente de lo que llegue por
sus entradas.
1. ENTRADA DE VALIDACIN
ACTIVA A NIVEL ALTO
Multiplexor de 4 entradas de datos, 2
entradas de seleccin y una entrada
de validacin a nivel alto.
Multiplexores con entrada de validacin (ENABLE)





La tabla de verdad es la siguiente:



E S
1
S
0
Z
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 0
1 0 0 I
0
1 0 1 I
1
1 1 0 I
2
1 1 1 I
3
E S
1
S
0
Z
0 x x 0
1 0 0 I
0
1 0 1 I
1
1 1 0 I
2
1 1 1 I
3
2. ENTRADA DE VALIDACIN
ACTIVA A NIVEL BAJO
Se suele denotar mediante . Cuando
la entrada E est a 0 (E=0) el
multiplexor funciona normalmente, y
cuando est a 1 (E=1) est
desconectado.
Multiplexores con entrada de validacin (ENABLE)
La tabla de verdad es la siguiente:



E S
1
S
0
Z
0 0 0 I
0
0 0 1 I
1
0 1 0 I
2
0 1 1 I
3
1 x x 0
Los multiplexores pueden ser
organizados en cascada para
implementar MUX ms grandes, con
esto lograremos aumentar el nmero
de entradas.
Ejemplo: Construir un Multiplexor de 8
canales con multiplexores de 2 canales.







Extensin de multiplexores
Colocamos en la 1ra. columna 4 MUX de dos
entradas, para tener en total 8 entradas, todas
las entradas de seleccin se unen. Luego en la
2da. columna colocamos 2 MUX de 2 entradas,
tambin con sus entradas de seleccin unidas.
Finalmente, colocamos una 3ra. columna con
un nico multiplexor de 2 entradas.
Comprobaremos si el diseo es correcto,
por ejemplo que ocurre si seleccionamos
el canal 6.
Introducimos en binario el nmero 6 por las
entradas de seleccin: S
2
=1, S
1
=1 y S
0
=0.
Por la entrada S de los multiplexores de la
1ra. columna se introduce un 0, por lo que
estos multiplexores sacan por sus salidas lo
que hay en sus entradas I
0
, I
2
, I
4
e I
6
.
Por la entrada de seleccin de los
multiplexores de la 2da. columna se
introduce un 1 por lo que estn
seleccionando su canal I
1
. A la salida de
estos multiplexores se tendr: I
2
e I
6
.
Finalmente, el multiplexor de la ltima
columna est seleccionando su entrada I
1
,
por lo que la salida final es I
6
.
Extensin de multiplexores
Ejemplo: Construir un Multiplexor de
16 entradas usando multiplexores de 4.









Los conectamos en cascada, para lo cual
en la 1ra. columna se colocan 4
multiplexores de 4 entradas, con entradas
S
0
y S
1
todos ellos unidos . En la segunda
fila hay un nico multiplexor de 4
entradas.
Extensin de multiplexores
Implementacin de funciones con MUX
1) Si el nmero de variables
booleanas es igual que el
nmero de lneas de seleccin
del multiplexor
Las variables se
conectan a las lneas
de seleccin en el
mismo orden en
cuanto al peso.
En las entradas del
multiplexor se
conectan las
constantes lgicas
obtenidas de la tabla
de verdad
De esta forma, cada vez que en las
lneas de seleccin se coloque una
de las posibles combinaciones
binarias, el dato que se encuentre en
la entrada correspondiente aparece
en la salida.
A B C F
0 0 0 1
0 0 1 0
0 1 0 1
0 1 1 1
1 0 0 1
1 0 1 0
1 1 0 0
1 1 1 0
A
B
C
1

0

1

1

1

0

0

0

MUX
8x1
I
0
I
1
I
2
I
3
I
4
I
5
I
6
I
7
F
S2 S1 S0
Implementacin de funciones con MUX
2) Si el nmero de variables
booleanas es mayor que el
nmero de lneas de
seleccin del multiplexor
Se usa un multiplexor con un
nmero de entradas de seleccin
menor en una unidad al nmero
de variables. Se deja una variable,
normalmente la de menor peso,
(aunque puede ser cualquier otra),
sin conectar a las entradas de
seleccin, mientras que las
restantes variables se conectan a
las lneas de seleccin, en el mismo
orden de peso.
Para generar funciones
de n variables se usarn
multiplexores de n-1
lneas de seleccin
Implementacin de funciones con MUX
Ejemplo: Implementar la funcin
con un multiplexor dada la siguiente
tabla de verdad:

w
1
w
w
0
w

0
x y z w f
0 0 0 0 0
0 0 0 1 1
0 0 1 0 1
0 0 1 1 1
0 1 0 0 0
0 1 0 1 1
0 1 1 0 0
0 1 1 1 1
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 1
1 1 0 0 1
1 1 0 1 0
1 1 1 0 0
1 1 1 1 0
x y z
w
1
0
x y z
Ejemplo: Implementar la funcin
F = yz + x +
utilizando un multiplexor, sin
entrada de validacin.
Como se tiene 3 variables, se puede
implementar utilizando un
multiplexor de 2 entradas de
control.
Implementacin de funciones con MUX
x y z F
0 0 0 1
0 0 1 0
0 1 0 0
0 1 1 1
1 0 0 1
1 0 1 1
1 1 0 0
1 1 1 0
2
2
= 4
Entradas
de datos
I
0
I
1
I
2
I
3
x

y


z

1

0

Implementacin de funciones con MUX
Ejemplo: Implementar la funcin
F = B + B + A + C
utilizando un multiplexor, sin entrada de
validacin.





Las entradas A y B las conectamos
directamente a S
1
y S
0
, respectivamente.
A B C F
0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 0
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 1
I
0
I
1
I
2
I
3
A

B

C


1

Ejemplo: Implementar la funcin
utilizando un multiplexor, considerando la
siguiente tabla de verdad.
Las entradas A, B y C se
conectan directamente a
S
2
, S
1
y S
0
. Los valores que
se introducen por las
entradas son:
I
0
=
I
1
=
I
2
=
I
3
= 1
I
4
=
I
5
= 0
I
6
= 1
I
7
= 1
A B C D F
0 0 0 0 1
0 0 0 1 0
0 0 1 0 1
0 0 1 1 0
0 1 0 0 1
0 1 0 1 0
0 1 1 0 1
0 1 1 1 1
1 0 0 0 1
1 0 0 1 0
1 0 1 0 0
1 0 1 1 0
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 1
Ejemplo: F(A, B, C) = (1, 3, 5, 6)

Los valores que se
introducen por las
entradas son:
I
0
=
I
1
=
I
2
=
I
3
=
Implementacin de funciones con MUX
Trmino
mnimo
A B C F
0 0 0 0 0
1 0 0 1 1
2 0 1 0 0
3 0 1 1 1
4 1 0 0 0
5 1 0 1 1
6 1 1 0 1
7 1 1 1 0
Ejemplo: Implementar la funcin
utilizando un multiplexor, considerando
la siguiente tabla de verdad.
Las entradas A, B y C se
conectan directamente a
S
2
, S
1
y S
0
. Los valores que
se introducen por las
entradas son:
I
0
= D
I
1
= D
I
2
=
I
3
= 0
I
4
= 0
I
5
= D
I
6
= 1
I
7
= 1
A B C D F
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0
0 0 1 1 1
0 1 0 0 1
0 1 0 1 0
0 1 1 0 0
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 1
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 1
El circuito final es:
Implementacin de funciones con MUX
Implementacin de funciones con MUX
Ejemplo:
, , , = (, , , , , , , )
Ejemplo: Implementar la funcin utilizando
un multiplexor, considerando la siguiente
tabla de verdad.

Las entradas A, B y C se
conectan directamente a
S
2
, S
1
y S
0
. Los valores
que se introducen por las
entradas son:
I
0
=
I
1
=
I
2
=
I
3
= 1
I
4
=
I
5
= 0
I
6
= 1
I
7
= 1


x y z t f
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 1
0 1 0 0 1
0 1 0 1 1
0 1 1 0 1
0 1 1 1 1
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 0
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 0
A B C D F
0 0 0 0 1
0 0 0 1 0
0 0 1 0 1
0 0 1 1 0
0 1 0 0 1
0 1 0 1 0
0 1 1 0 1
0 1 1 1 1
1 0 0 0 1
1 0 0 1 0
1 0 1 0 0
1 0 1 1 0
1 1 0 0 1
1 1 0 1 1
1 1 1 0 1
1 1 1 1 1
Implementacin de funciones con MUX con entrada
de validacin
Ejemplo: Implementar la funcin
F = AC + ABC utilizando un
multiplexor, sin entrada de validacin.
Las entradas A y B se
conectan directamente
a S
1
y S
0
. Los valores
que se introducen por
las entradas son:
I
0
= 0
I
1
= 0
I
2
= C
I
3
= C
A B C F
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 0
1 0 0 0
1 0 1 1
1 1 0 0
1 1 1 1
I
0
I
1
I
2
I
3
Ahora implementaremos la funcin con
un multiplexor con entrada de validacin
Sacamos factor comn: F = A (C + BC)
Esta es la ecuacin de un multiplexor de una
entrada de control y una entrada de
validacin. Si A=0, entonces F=0, y si A=1, se
comporta como un multiplexor.
Implementacin de funciones con MUX
Ejercicios propuestos.
1. Implementar la funcin utilizando un multiplexor.
F = + C + B + AC
2. Implementar la funcin utilizando un multiplexor.
F(A, B, C, D) = (, , , , , , )
3. El multiplexor de la figura implementa una funcin lgica de cuatro variables.
Deducir los trminos de la funcin, montar el circuito y comprobar el
funcionamiento.
Implementacin de funciones con MUX
Ejercicios propuestos.
4. Deducir la funcin que realiza el siguiente circuito.
MUX en circuito integrado
La siguiente es una lista de los MUX de circuito integrado ms populares de la
familia TTL:
74157: Cuatro mux de 2 a 1 con seal strobe
74158: Cuatro mux de 2 a 1 con seal strobe salidas invertidas
74153: Dos mux de 4 a 1 con strobe
74151: Un mux de 8 a 1 (salida invertida y sin invertir), con strobe
74152: Un mux de 8 a 1 (salida invertida)
74150: Un mux de 16 a 1 con strobe
Descripcin del MUX 74151










La entrada strobe (S) es una seal de habilitacin/deshabilitacin del
MUX.
La seal Strobe (S) permite la interconexin de un mux con otros mux para
expandir su capacidad a un mayor nmero de entradas.
Implementacin de un mux de 16 a 1
usando circuitos 74151
Aplicacin de la multiplexacin
Visualizar la multiplexacin
En este ejemplo, el multiplexaje reduce el consumo de potencia de los
visualizadores y elimina la necesidad de un decodificador extra. El multiplexor
trabaja a una frecuencia de 100 Hz que activa alternativamente el conteo de
las unidades o de las decenas.
El demultiplexor (DEMUX) invierte la operacin del multiplexor, el
DEMUX tiene una sola entrada de datos que en la salida puede ser
distribuida a cualquiera de las mltiples salidas.
Demultiplexores
En general en un demultiplexor tendremos:
Una entrada de datos
Una entrada de seleccin: que indica a cul de las salidas se
manda la entrada
Varios canales de datos de salida. Slo estar activo el que se
haya seleccionado.
Demultiplexores
El demultiplexor ms simple es el que tiene una entrada de seleccin,
una entrada de datos y dos salidas. Segn el valor de la entrada de
seleccin, la entrada de datos se sacar por la salida O
0
o por la O
1
.





Las funciones para O
0
y O
1
, slo depende de la entrada de seleccin
(S). As podemos describir el multiplexor, mediante la siguiente tabla:
Demultiplexor de una entrada de seleccin
S

O
1
O
0
0 0 I
1 I 0
Este demultiplexor tiene dos entradas de seleccin y cuatro salidas:






La entrada I se saca por la salida indicada en las entradas de seleccin.
La tabla de verdad abreviada la podemos expresar as:
Demultiplexor de dos entradas de seleccin
S
1
S
0
O
3
O
2
O
1
O
0
0 0 0 0 0 I
0 1 0 0 I 0
1 0 0 I 0 0
1 1 I 0 0 0
Los 4 sistemas a, b, c y d, necesitan enviar informacin a otros 4 dispositivos
A, B, C y D. La comunicacin es uno a uno, es decir, el sistema a slo enva
informacin al sistema A, el b al B, el c al C y el d al D.
Aplicacin tpica de multiplexores y
demultiplexores
Demux en circuito integrado
La siguiente es una lista de los demultiplexores/decodificadores ms
populares en circuito integrado de la familia TTL:
74138: Demux/decodificador de 3 a 8
74139: Demux/decodificador de 2 a 4, doble
74141: Decodificador/driver BCD - decimal
74154: Demux/Decodificador de 4 a 16
74159: Demux/decodificador de 4 a 16 con salidas de colector abierto
74155: Demux/decodificador doble de 2 a 4
74156: igual al 74155, pero con salidas de colector abierto
Descripcin del Demux/decodificador 74138








Las entradas G2A, G2B y G1 pueden ser usadas como dato de
entrada del mux de 1 a 8 o bien, como seales tipo strobe para
habilitar o deshabilitar al decodificador de 3 a 8.
Implementacin de un demux de 1 a 16
usando circuitos 74138
El CI decodificador/demultiplexor 74LS154
El DEMUX 74LS154 tiene 16 salidas con 4
entradas selectoras de datos, sus salidas
son activas en bajo, adems tiene dos
entradas de datos G1 y G2 negados que
realizan la operacin NOR para generar la
nica entrada de datos lo que quiere
decir que para poder activar un dato
deben estar los dos en bajo.




G1 G2 D C B A 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
L L L L L L L H H H H H H H H H H H H H H H
L L L L L H H L H H H H H H H H H H H H H H
L L L L H L H H L H H H H H H H H H H H H H
L L L L H H H H H L H H H H H H H H H H H H
L L L H L L H H H H L H H H H H H H H H H H
L L L H L H H H H H H L H H H H H H H H H H
L L L H H L H H H H H H L H H H H H H H H H
L L L H H H H H H H H H H L H H H H H H H H
L L H L L L H H H H H H H H L H H H H H H H
L L H L L H H H H H H H H H H L H H H H H H
L L H L H L H H H H H H H H H H L H H H H H
L L H L H H H H H H H H H H H H H L H H H H
L L H H L L H H H H H H H H H H H H L H H H
L L H H L H H H H H H H H H H H H H H L H H
L L H H H L H H H H H H H H H H H H H H L H
L L H H H H H H H H H H H H H H H H H H H L
L H X X X X H H H H H H H H H H H H H H H H
H L X X X X H H H H H H H H H H H H H H H H
H H X X X X H H H H H H H H H H H H H H H H
LABORATORIO
Disear un circuito combinacional que active un display de 7
segmentos.
El circuito admite como entrada las lneas A3, A2, A1 y A0, que
representan un nmero binario de 4 bits, siendo A3 el bit ms
significativo. Las lneas de salida actan directamente sobre los siete
segmentos del display. Las salidas y segmentos con la misma letra
estn conectados.
La presentacin en el display debe ser la siguiente:
a) Si el nmero no es primo y es mayor que 5, debe aparecer el mayor
de sus divisores, excluido el propio nmero, es decir, no considerar
que un nmero es el mayor divisor de s mismo. Ejemplo: si el
nmero fuera 36, sus divisores son 2, 3, 4, 6, 9, 12 y 18. El mayor
sera 18.
b) Si el nmero es primo o menor que 4, debe aparecer la letra P.
c) En el resto del caso debe aparecer la letra E.
1. Encontrar la tabla de verdad que
resuelve el circuito.
2. Implementar las funciones de la
siguiente forma:
a) La funcin a usando puerta lgicas.
b) La funcin b usando slo puertas
NAND.
c) La funcin c usando slo puertas NOR
d) La funcin d usando un MUX 4x1
tomando A1 y A0 como lneas de
seleccin S1 y S0, respectivamente.
e) La funcin e con puertas OR y una
puerta AND
f) La funcin f con puertas AND y una
puerta OR.
g) La funcin g con un Decodificador con
salidas activas a nivel bajo.
LABORATORIO

Potrebbero piacerti anche