Sei sulla pagina 1di 2

INTRODUCCIN El lenguaje VHDL Los estudios para la creacin del lenguaje VHDL (VHSIC HDL) comenzaron en el ao 1981, bajo

la cobertura de un programa para el desarrollo de Circuitos Integrados de Muy Alta Velocidad (VHSIC), del Departamento de Defensa de los Estados Unidos. En 1983 las compaas Intermetrics, IBM y Texas Instruments obtuvieron la concesin de un proyecto para la realizacin del lenguaje y de un conjunto de herramientas auxiliares para su aplicacin. Finalmente, en el ao 1987, el lenguaje VHDL se convierte en la norma IEEE-1076 como todas las normas IEEE, se somete a revisin peridica, por lo que en 1993 sufri algunas leves modificaciones. 3.- Caractersticas del lenguaje El lenguaje VHDL fue creado con el propsito de especificar y documentar circuitos y sistemas digitales utilizando un lenguaje formal. En la prctica se ha convertido, en un gran nmero de entornos de CAD, en el HDL de referencia para realizar modelos sintetizables automticamente. Las principales caractersticas del lenguaje VHDL se explican en los siguientes puntos: Descripcin textual normalizada: El lenguaje VHDL es un lenguaje de descripcin que especifica los circuitos electrnicos en un formato adecuado para ser interpretado tanto por mquinas como por personas. Se trata adems de un lenguaje formal, es decir, no resulta ambiguo a la hora de expresar el comportamiento o representar la estructura de un circuito. Est, como ya se ha dicho, normalizado, o sea, existe un nico modelo para el lenguaje, cuya utilizacin est abierta a cualquier grupo que quiera desarrollar herramientas basadas en dicho modelo, garantizando su compatibilidad con cualquier otra herramienta que respete las indicaciones especificadas en la norma oficial. Es, por ltimo, un lenguaje ejecutable, lo que permite que la descripcin textual del hardware se materialice en una representacin del mismo utilizable por herramientas auxiliares tales como simuladores y sintetizadores lgicos, compiladores de silicio, simuladores de tiempo, de cobertura de fallos, herramientas de diseo fsico, etc. Amplio rango de capacidad descriptiva: El lenguaje VHDL posibilita la descripcin del hardware con distintos niveles de abstraccin, pudiendo adaptarse a distintos propsitos y utilizarse en las sucesivas fases que se dan en el desarrollo de los diseos. Adems es un lenguaje adaptable a distintas metodologas de diseo y es independiente de la

tecnologa, lo que permite, en el primer caso, cubrir el tipo de necesidades de los distintos gneros de instituciones, compaas y organizaciones relacionadas con el mundo de la electrnica digital; y, en el segundo, facilita la actualizacin y adaptacin de los diseos a los avances de la tecnologa en cada momento. Otras ventajas: Adems de las ventajas ya reseadas tambin es destacable la capacidad del lenguaje para el manejo de proyectos de grandes dimensiones, las garantas que comporta su uso cuando, durante el ciclo de mantenimiento del proyecto, hay que sustituir componentes o realizar modificaciones en los circuitos, y el hecho de que, para muchas organizaciones contratantes, sea parte indispensable de la documentacin de los sistemas.

Potrebbero piacerti anche