Sei sulla pagina 1di 87

Project Topic

SMART HOME SYSTEMS

Changing the Way Houses Operate

CONTENTS Abstract Introduction Smart Homes What is a Microcontroller? Uses of Microcontroller Basics of Microcontroller Introduction to 8051 Architecture Difference between CISC & RISC architecture AVR ATMEGA 16 Microcontroller- From datasheet DC MOTORS MOTOR DRIVERS L293D DTMF Communication DTMF Encoder/Decoder Power Systems Programming Difference between assembly and high level language Windows compiler / WinAVR Programming through AVR studio 4 Burning microcontroller using PonyProg Programming Logic- Block Diagram Main Program Future Implementation Conclusion References

ABSTRACT
Home automation (also called domotics) designates an emerging practice of increased automation of household appliances and features in residential dwellings, particularly through electronic means that allow for things impracticable, overly expensive or simply not possible in recent past decades. The term may be used in contrast to the more mainstream "building automation", which refers to industrial uses of similar technology, particularly the automatic or semi-automatic control of lighting, doors and windows, Heating, Ventilation and Air Conditioning, and security and surveillance systems. The techniques employed in home automation include those in building automation as well as the control of home entertainment systems, houseplant watering, pet feeding, changing the ambiance "scenes" for different events (such as dinners or parties), and the use of domestic robots. Typically, it is easier to more fully outfit a house during construction due to the accessibility of the walls, outlets, and storage rooms, and the ability to make design changes specifically to accommodate certain technologies. Wireless systems are commonly installed when outfitting a pre-existing house, as they obviate the need to make major structural changes. These communicate via radio or infrared signals with a central controller. As the amount of controllable fittings and domestic appliances in the home rises, the ability of these devices to interconnect and communicate with each other digitally becomes a useful and desirable feature. The consolidation of control or monitoring signals from appliances, fittings or basic services is an aim of Home automation. In simple installations this may be as straightforward as turning on the lights when a person enters the room. In advanced installations, rooms can sense not only the presence of a person inside but know who that person is and perhaps set appropriate lighting, temperature, music levels or television channels, taking into account the day of the week, the time of day, and other factors. Other automated tasks may include setting the air conditioning to an energy saving setting when the house is unoccupied, and restoring the normal setting when an occupant is about to return. More sophisticated systems can maintain an inventory of products, recording their usage through an RFID tag, and prepare a shopping list or even automatically order replacements. Home automation can also provide a remote interface to home appliances or the automation system itself, via telephone line, wireless transmission or the internet, to provide control and monitoring via a Smart Phone or Web browser An example of a remote monitoring implementation of home automation could be when a smoke detector detects a fire or smoke condition, then all lights in the house will blink to alert any occupants of the house to the possible fire. If the house is equipped with a home theatre, a home automation system can shut down all audio and video components to display the alert or make an audible announcement. The system could also call the home owner on their mobile phone to alert them, or call the fire brigade or alarm monitoring company to bring it to their attention.

Automatics home has a group of automatic machines having little or no intelligence but having a capacity to understand a given situation and work according to it for protection and conduction of home accessories. Automatics machines definition has varied meaning but in simple terms it is group of machines which makes human effort less. An Automatics machines can go where no humans can go, do things which cannot be done my normal humans thereby increasing the efficiency of work and quality. There are FIVE major parts the AUTOMATICS HOMES have
1. HOME SECURITY 2. HOME LIGHTING 3. DTMF HOME ELECTRONICS CONTROL 4. KEYPAD LOCKING TECHNIQUE 5. REMOTE CONTROLLED GARAGE DOOR CONTROL

INTRODUCTION
In the near future, people will be living just as the Jetsons did, but with one major change. All chores will be done without having a robot maid. Smart home technology will allow all sorts of electronics and appliances to be able to communicate with each other and perform a variety of tasks. Whenever someone wants to have a snack, but does not feel like making something, why not have the refrigerator suggest something based on what it has inside of it. Not only that, it would communicate with the microwave to prepare the cooking power and time for that particular dish. Smart home technology can and will be used in many electronics and appliances in the near future, and is a form of technology that will prove to make life easier in ways, and more entertaining. Smart home technology is the technology used to make all electronic devices around a house act "smart" or more automated. Nearly all major appliances in the future will take advantage of this technology through home networks and the Internet. Smart home technology is a way for ordinary electronics and appliances to communicate with each other, consumers, and even manufacturers. Many consider a smart home to be one that is networked. Others feel it is a home that has appliances that will allow the consumer to do little to no work, but a smart home really is all of that combined, and more. Not only will all consumer products be networked, but they will also make life easier on people. Smart home technology is currently being developed and implemented for all rooms in the house, in particular the kitchen and the living room. Smart home technology may be innovative, but it just uses existing technology. A smart device is an ordinary appliance with a sophisticated computer installed to give it more functionality. But it is what these new functions bring that makes it so significant. Smart homes will become more of a reality as broadband internet becomes more widespread in homes. Cable broadband is not the only technology that will be used to have devices in the home communicate with each other. Other technologies such as DSL, Bluetooth, and wireless will also be used .These technologies provide a way to have a home networked for devices to communicate with each other and to have them connected to the Internet. These different communication technologies, whether they are hard wired or wireless, provide the foundation on which a smart home will operate. The ability for devices to communicate with each other will provide new functionality to just about every device in a home. The ability to connect to the Internet also brings more functionality. Devices will be able to request service when needed to nearby certified repairers. Since these devices will be using currently implemented technology, companies can very easily make smart home technologies. The most heard about smart technologies are that of the kitchen. Nearly all appliances in the future will be "smart." Devices such as refrigerators, microwaves, coffee makers, and dishwashers will have the technology. LG Electronics has developed the Internet Refrigerator. LG is a leader in innovative technology, both computer and electronic. The Internet Refrigerator utilizes the technology to help make many tasks much easier. The refrigerator is Internet enabled and allows for users to communicate with it via the Internet, cell phone, PDA. The refrigerator is able to download recipes and then display them on its LCD screen. The refrigerator also takes an automatic inventory of items inside of it, alerting the consumer to what is there, how long it has been there, and when an item is running low. Because many people use the refrigerator to leave notes to themselves and others, the Internet refrigerator has the capability to store appointments

and occasions to help organize one's life. LG Electronics is not the only company making such a product (LG Electronics). Other companies such as Samsung, General Electric, and Whirlpool all have similar refrigerators available. Some models have the ability to track inventory and even order new groceries when they are needed The next generation microwaves are also smart. Microwaves can communicate with smart refrigerators and suggest recipes based on what items are in the refrigerator. The microwave can even be set to start at certain times while away from home, so when one arrives home, a hot meal is waiting for him or her. In addition to the microwave, ovens and stoves will operate in the same way. They will suggest recipes based on the food items available in the home. Cooking will become more precise with no room for human error as the device automatically sets itself to the right temperature and time. Coffee makers already have smart technology. Consumers can currently set a schedule for the coffee maker to start brewing. In the future, coffee makers will even be able to learn how each individual likes his or her coffee, use the correct amount of coffee and water, and brew to each individual's exact liking. Stepping away from the kitchen, the living room is another part of the home that can greatly benefit from smart home technologies. Devices like televisions and stereos will utilize this technology to further ease and improve the entertainment experience. As higher resolution televisions become more popular in people's homes, more interactive content will become available. Instead of consumers having a television and a desktop PC, soon all PC functions will move over to the television. PC-like Internet capability will become possible on a home television set. The ability to buy products on the television with just a push of the button on the remote control is a big opportunity for businesses to take advantage of smart home technology. The ability of companies to give the user the option to buy a particular product immediately after viewing the commercial is a way to increase sales tremendously. This leads to interactive TV, which is becoming more popular with major cable and satellite companies. The ability to shop, gamble, and interact with the television becomes available because of smart home technologies. Watching television is no longer going to be as limited as it is today. The ability to watch sports at any angle the consumer wants is something that will be seen in the future. Another possibility of interactive television is the ability to actually play with contestants on a game show. There are many different ways that interactive television will change the way people watch TV, allowing people to become part of the world that they see on TV. A quite commonly overlooked product of the living room is the air conditioner. LG Electronics makes an Internet Air Conditioner that has self-adjusting settings. Users can connect to the air conditioner with any Internet capable device such as a PC, PDA, or cell phone and schedule a start or shutdown time. The air conditioner can even self adjust to keep the house or room cool depending on the amount of people in the home. The air conditioner also has downloadable programs that run different air circulation patterns with a variety of settings to make the cooling process even more efficient (LG Electronics). Other products that are coming in the near future are smart showerheads and toilets. Showers will be able to store individual profiles for each user and will adjust water temperature and pressure settings based on that individuals' preference. No longer will people have to fidget with the temperature setting trying to get it right for a comfortable shower. Toilets will have self-clean

capabilities and will be able to adjust flush settings depending on the amount of matter in them. Toilets may even be able to notify users when the toilet paper stock is low and order some more for them. An important feature that is available on virtually all smart home technology products is a self-diagnostic system. All these devices will be able to notify consumers when there is a malfunction or when some type of service is needed. Many of these devices will also be able to put in a work order automatically. Servicemen will be able to then come and repair the device without a hassle to the consumer. Devices that take all the guesswork out of using them and keep themselves up and running properly is truly innovating. No more overcooking the chicken, no more dirty dishes when they have already been washed, and no more wet clothes out of the dryer. Life with smart devices is going to change the way a home operates. With Smart Homes people's lives will become more efficient as more household tasks become automated. Imagine not having to write a grocery list ever again since all the groceries will be ordered automatically. All that would need to happen is for someone to pick up the groceries. Food will be ready to eat when people get home. The extra time saved would mean more time to for entertainment, oneself, or loved ones. Consumers will soon realize that smart home technology will be something that one cannot live without. The personal computer in its early stages took many years before people really started using it and today, it becomes very difficult to find any person without one. Smart home technology is not unlike the PC, and soon will be something that everyone has.

PROJECT DESCRIPTION
Our Project is on making an automated, programmed controlled electronics devices which can be controlled by us. Then again why is it needed? 1. HOME SECURITY-: It is needed for the protection of total house from the unknowns or unusual administration to the home how might be harmful towards the home. It is used by a PIR Sensor controlled kit which is highly sensitive towards the nearby area of it, which is connected to a burglar alarm. When someone cross the sensor areas then it detects the changes in the infrared heat in the surroundings and through which the connected buzzer which is controlled by microcontroller blows up. By which we can alerted towards the strangers or thief.
2. HOME LIGHTING-: It is needed for the lighting of a home, somehow it is just lighting it

is such that how much a home required that much should be given. It is a low budget and cost saving home accessory which is fully automated, controlled by the microcontroller. It is connected to various lighting system which is dependent on ambient light falling on them
3. DTMF HOME ACCESSORIES CONTROL-: It is needed for the controlling of electrical

or day to day equipment like fan, tube light, computer etc without present inside the home. Means through mobile we can control the above said equipment. So we will readily use what is available to us i.e. our mobile towers or the wireless network provided by mobile towers, so we can now connect to two places through a mobile phone, we can stay a Bhubaneswar and connect to Delhi and talk with someone there. So the same technology DTMF technology we are now going to implement on our Microcontroller. 4. KEYPAD LOCKING TECHNIQUE-: equipped for the locking of the door or entrance of a house, only those persons know the secret code to open the keypad which then permits the person to enter into the house. By which only authorized persons can enter the room only if any thief tries to enter it then again raises an alarm. 5. REMOTE CONTROLLED GARAGE DOOR CONTROLLER: - in recent years need for remote controlled wireless technology has developed so much that we can now easily control equipment wirelessly with just a press of a button.

BLOCK DIAGRAM OF THE TOTAL SYSTEM:

Stable Power Supply System Operational 12v output 1A & 5v output 1A DTMF Communicati on system Integrated Cell Phone

PIR Sensor based motion detector Smart Alarm

ATMEL AVR At mega 16L Microcontroller based controller unit

Relay Systems used to control home appliances Light Fan Fridge AC

Keypad based controller locking technique LED Panel Indicator

ASK-RF Based Receiver ASK -RF Based Remote controller for opening of garage door -Transmitter MOTOR Driver / Automated switching circuit Motor for controlling Garage Door

DTMF CONTROLLED SYSTEM

In this project the home control systems, is controlled by a mobile phone that makes call to the mobile phone attached to the system in the course of the call, if any button is pressed control corresponding to the button pressed is heard at the other end of the call. This tone is called dual tone multi frequency tone (DTMF) robot receives this DTMF tone with the help of phone stacked on the system. The received tone is processed by the atmega16 microcontroller with the help of DTMF decoder MT8870 the decoder decodes the DTMF tone in to its equivalent binary digit and this binary number is send to the microcontroller, the microcontroller is preprogrammed to take a decision for any give input and outputs its decision to motor drivers in order to drive the motors for forward or backward motion or a turn. The mobile that makes a call to the mobile phone stacked in the robot acts as a remote. So this simple robotic project does not require the construction of receiver and transmitter units. DTMF signaling is used for telephone signaling over the line in the voice frequency band to the call switching center. The version of DTMF used for telephone dialing is known as touch tone. DTMF assigns a specific frequency (consisting of two separate tones) to each key s that it can easily be identified by the electronic circuit. The signal generated by the DTMF encoder is the direct algebraic submission, in real time of the amplitudes of two sine (cosine) waves of different frequencies, i.e., pressing 5 will send a tone made by adding 1336 Hz and 770 Hz to the other end of the mobile. The tones and assignments in a DTMF system shown below

DTMF Communication and Experimental Setup

Dual-Tone Multi-Frequency (DTMF) is perhaps the most widely known method of Multi Frequency Shift Keying (MSFK) data Transmission technique. DTMF was developed by Bell Labs to be used in the telephone system. Most telephones today uses DTMF dialing (or tone dialing). The DTMF standards define the overlaying of two pure sinusoidal waves by additive combination.

Where and are the low and high frequencies of the sine waves being used, A and B are the l h amplitude of the signals and is the initial phase shifts.

1209 Hz 1336 Hz 1477 Hz 1633 Hz 697 Hz 770 Hz 852 Hz 941Hz 1 4 7 * 2 5 8 0 3 6 9 # A B C D

Table 1. DTMF signal frequency encoding table.

The DTMF technique outputs distinct representation of 16 common alphanumeric characters (0-9, A-D, *, #) on the telephone. The lowest frequency used is 697Hz and the highest frequency used is 1633Hz, as shown in Table 1. Acoustical transmission (using actual sound) is not a commonly implemented method of DTMF signal transmission. A distinction should be made that DTMF is an encoding scheme for data transmission, not a method. However, the important key here is recognizing that the DTMF frequency range falls within the audible range hence can be transmitted acoustically using sound wave.

Circuit Description Figures show the block diagram and circuit diagram of the microcontroller- based robot. The important components of this robot are DTMF decoder, Microcontroller and motor driver. An MT8870 series DTMF decoder is used here. All types of the mt8870 series use digital counting techniques to detect and decode all the sixteen DTMF tone pairs in to a four bit code output. The built -in dial tone rejection circuit eliminated the need for pre- filtering. When the input signal given at pin2 (IN-) single ended input configuration is recognized to be effective, the correct four bit decode signal of the DTMF tone is transferred to Q1 (pin11) through Q4(pin14) outputs. The atmega 16 is a low power, 8 bit; cmos microcontroller based on the AVR enhanced RISC architecture. It provides the following feature: 16kb of in system programmable flash memory with read write capabilities, 512bytes of EEPROM, 1KB SRAM, 32 general purpose input/output lines. 32 general purpose working registers. All the 32 registers are directly connected to the arithmetic logic unit, allowing two independent registers to be accessed in one signal instruction executed in one clock cycle. The resulting architecture is more code efficient. Outputs from port pins PD0 through PD3 and PD7 of the microcontroller are fed to inputs IN1 through IN4 and enable pins (EN1 and EN2) of motor driver L293d respectively, to drive geared motors. Switch S1 is used for manual reset. Parts Needed: ic1 - mt8870 ic2 - atmega16 ic3 - l293d ic4 - cd7004 r1,r2 - 100k resistances r3 - 330k resistances r4-r8 - 10k resistances c1- 0.47 micro farad capacitor c2,c3,c5,c6 - 22pfarad capacitor c4 - 0.1micro farad capacitor xtal1 - 3.57 mhz crystal xtal2 - 12mhz crystal s1 - push to on switch m1,m2 - 6v 50rpm motor batt- 6v Construction Working::

In order to control the system, you have to make a call to the cell phone attached to the system from any phone. Now the phone is picked by the phone on the system through auto answer mode(which is in the phone, just enable it). Now when we press different key we get different frequency and by controlling these frequencies we can control different electronics applications. CMOS Integrated DTMF Receiver Features Full DTMF receiver Less than 35mW power consumption Industrial temperature range Uses quartz crystal or ceramic resonators Adjustable acquisition and release times 18-pin DIP, 18-pin DIP EIAJ, 18-pin SOIC, 20-pin PLCC CM8870C Power down mode Inhibit mode Buffered OSC3 output (PLCC package only) CM8870C is fully compatible with CM8870 for 18-pindevices by grounding pins 5 and 6 Applications PABX Central office Mobile radio Remote control Remote data entry Call limiting Telephone answering systems

Paging systems Product Description The CAMD CM8870/70C provides full DTMF receiver capability by integrating both the bandsplit filter and digital decoder functions into a single 18-pin DIP, SOIC, or 20-pin PLCC package. The CM8870/70C is manufactured using state-of-the-art CMOS process technology for low power consumption (35mW, max.) and precise data handling. The filter section uses a switched capacitor technique for both high and low group filters and dial tone rejection. The CM8870/70C decoder uses digital counting techniques for the detection and decoding of all 16 DTMF tone pairs into a 4-bit code. This DTMF receiver minimizes external component count by providing an on-chip differential input amplifier, clock generator, and a latched three-state interface bus. The on-chip clock generator requires only a low cost TV crystal or ceramic resonator as an external component.

HOME SECURITY SYSTEM


PIR Detectors for Security

PIR devices can detect a person moving into or through a detection zone with high reliability. The slightest positive or negative thermal radiation change in contrast to a background, focused by the appropriate optics, triggers the sensor element. There is no interference between neighboring units due to the passive nature of the detection principle. The use of differential or dual-channel sensor technology and advanced digital signal processing reduce false alarms caused by turbulence. Similarly, precision optics accurately define the field of view, allowing consistent and long-range coverage. The Sensor At the heart of every PIR detector is the pyroelectric sensor. Typical sensors use pyroelectric materials, such as tri-glycine sulphate (TGS) or lithium tantalite, which undergo a change in polarization if the temperature of the material is altered (in the case of PIR detectors by thermal radiation). If electrodes are placed on opposite faces of a thin slice of the material to form a capacitor, the change in polarization can be observed as an induced voltage across the slice if the external impedance is comparatively high. The sensor Figure 1. will only produce an electrical output signal when the temperature of the incident radiation changes. A Curie point Tc: Materials lose their differential sensor uses magnetic properties when raised past a two elements of opposite polarity so that equal radiation certain temperature called the Curie changes on both elements are compensated to zero point. output. Both TGS and lithium tantalite exhibit a large spontaneous electrical polarization below Tc, known as the Curie point (see Figure 1). Lithium tantalite is more frequently used, thanks to its large pyroelectric coefficient and excellent chemical stability. Spectral Sensitivity. The spectral response of pyroelectric sensors is limited to the far IR spectrumwavelengths longer than the near IR of LEDs and shorter than microwaves. The wavelength of the incident radiation is not a determining factor because pyroelectric sensors have a flat response over a very wide spectral range. The spectral range is limited by the window material used by the manufacturer of the sensor component. Most off-theshelf pyroelectric sensors limit the spectral response to all or parts of a range between 0.15 and 20 m. The radiation of the human body is strongest between 8 and 14 m. The goal of every filter is to make the sensor immune to unwanted radiation and pass a desired band, both of which are defined by the sensor's target application. Sensing

Figure 2. Pyroelectric sensors have a elements usually have built-in impedance converters or band-pass type of response. The pre-amplifiers to lower the number of external components graph shows the current and voltage and minimize the costs of the circuitry. step-response of a sensor. Pass band centers around 1 Hz. Response Characteristics. Pyroelectric sensors have a bandpass type of response (see Figure 2), with the

response rising at 20 dB per decade at low frequencies until reaching the peak output at 1 Hz. At higher frequencies the response falls at 20 dB per decade. Each pyroelectric sensor is designed to operate over a particular range of frequencies. Manufacturing techniques can move the average peak output at 1 Hz slightly, shifting the sensor's target response rate (the peak within the passband) so as not to coincide with the noise frequency. Typical outdoor detectors, for example, respond to objects moving between 0.2 and 5 m/s. Note that the electrical response characteristics are unrelated to the spectral sensitivity of the sensor. The Optics The optics come in various forms and shapes, and they focus the incoming radiation from the area that the detector covers. The longer the distance a detector covers, the higher the quality of the optics necessary. Fresnel Lens. A Fresnel lens is a plano convex lens that has been collapsed on itself to form a flat lens while retaining its optical characteristics (see Figure 3). The resulting lens is thinner and has lower absorption losses, and it is the most extensively used lens for a wide range of detectors. The white plastic window often associated with motion detectors is, in fact, the flat side of a Fresnel lens. Among its advantages, this type of lens offers low cost and eliminates the need for a separate window for most applications. Detectors using Fresnel lenses are normally short- to medium-range devices, detecting objects up to about 30 m/100 ft. away.

Figure 3. A Fresnel lens is a plano convex lens that has been collapsed on itself to form a flat lens. It retains the optical characteristics, yet it is flexible enough to serve as detector window.

Mirror Optics. Long-range and high-precision devices often use mirrors similar to those found in telescopes that bundle and focus the incident radiation onto the sensor elements. These devices commonly feature a more precise detection zone and allow for detection of objects of up to 150 m/500 ft. away. Detectors using such mirrors need a separate window to protect the device against wind, dust, and other elements. The choice of the window material is important for detectors used in harsh environments to minimize maintenance. In addition, very few materials transmit the desired 814 m radiation, adding to the cost of high-quality detectors. Additional Detector Features Common features of detectors include tamper switches and signal processing. Tamper switches are fitted into the housings and have a contact that opens when the detector housing is opened. If no separate tamper line is available, you can connect the contact in series with the detector's normally closed relay contact used to signal the alarm. Some units have logic that can distinguish between recurring patterns of radiation and nonrecurring patterns to minimize the number of false alarms while maintaining high sensitivity. Special Features of Advanced PIR Detectors

Adaptive Threshold Discrimination. To optimally adjust the sensitivity to the level of background noise, the device constantly averages the background noise and adjusts the threshold levels for the alarm. This reduces the probability of nuisance alarms caused by wind, moving vegetation, or objects that produce a thermal contrast, even though the latter usually produce a thermal contrast weaker than that emitted by a human body. Advanced Signal Shape Analysis. Precision detectors include logic analysis and signal processing, such as rate of rise and time windows between channels. Detectors equipped with multiple sensors that cover multiple areas independently often feature enhanced signal processing to further reduce the incidence of false alarms and increase sensitivity and reliability. Internal Heating. Detectors that use mirrors instead of Fresnel lenses need heating elements for the optics to prevent steam buildup, especially if the devices are deployed in areas with high humidity or other rough environmental conditions. Temperature Compensation. Contrast conditions can vary significantly in the course of the day and year. Temperature compensation maintains the detection and nuisance alarm properties under all possible conditions in an outdoor environment. PIR Detectors for High Security Figure 4. Because PIR sensors are very sensitive, capable of detecting In high-security applications, PIR detectors (see Figure 4) tiny thermal changes in contrast to a are often used to activate video motion detectors or focus stationary background, they're closed-circuit TV cameras on areas where the motion frequently used in security detectors have triggered alarms. PIR detectors are not ideal applications to detect motion. For as stand-alone components in such applications because perimeter security, they're often manufacturers often do not guarantee the absence of false paired with cameras and video motion alarms. However, the detectors, unlike video-only detectors (VMDs); the PIR detects surveillance systems, are not affected by the light level and something moving and the camera or work equally well day and night. They are also less VMD then zeroes in on the area of affected by fog, as the longer IR waves travel about 50% the alarm. further than the visible light, making PIR detectors good additions to outdoor perimeter control in harsh environments and poor weather conditions. The presence of cameras lets you see if something is happening in the area where an alarm has occurred. For perimeter control, pan-tilt cameras (PTCs) are controlled by PIR detectors to minimize the number of comparatively expensive cameras used and/or to minimize the movement of cameras for no reason, lessening wear and tear on the mechanism that moves the camera. Manufacturers are combining technologies and features to appeal to the large home security/consumer market. In addition to detectors that combine video and PIR in a single housing, there are devices that offer wireless transmission over distances up to 1000 ft. There are also highend detectors that can be accessed via common bus protocols, such as RS-485. These allow easy

integration into surveillance systems when used with PTCs and provide more detailed information about where and how far from a detector an alarm has occurred.

Working of a PIR SENSOR:


The Pyro-electric Infra-Red (PIR) sensor is an extremely useful device for detecting the presence of a moving body. This is due to its ability to sense the infrared radiation that every living body emits. Part of the appeal of the PIR sensor is its ability to reliably distinguish moving bodies from other objects, as well as from stationary bodies. Its basic mode of operation is to detect the difference in heat signature between two segments in its field of view. The model of the internal structure of a PIR sensor (see Figure 1) clearly illustrates this operating principle.

To avoid triggering upon sensing normal temperature variations or disturbances in airflow, a dualelement PIR sensor connects two elements in pairs. These are inverted with respect to each other in terms of polarization. When the two inverted elements are exposed to the same infrared radiation level, they cancel each other out, generating a zero-output signal as a result. This means that the detected body will have to move into or between the two elements field of view to cause the sensor to generate an output signal. In this way, a dual- or quad-element sensor is able to reject false detections accurately and effectively. By using a dual- or quad-element sensor, it is also possible to detect the direction in which the object is moving. The quad-element sensor in Figure 1 has two outputs: this means that it can indicate in which area the movement is occurring (for instance, on the ceiling or on the floor), and at the same time whether the object is moving in the horizontal or vertical plane. The dual sensor can only indicate movement in one axis; for example, the horizontal plane. When specifying a sensor, the number of elements is only the first consideration: Other important parameters that vary between sensors are frequency response, which determines the sensors ability to detect low and high speed movements, the angle of the field of view, which will affect the size of the sensors coverage area and immunity to RF and background noise. Configuring the Lens The sensor itself is inefficient if it does not have a lens to focus the radiation. The most commonly used lens type is the Fresnel lens, due to its low losses and small form factor. A Fresnel lens is a compressed plano-convex lens that comprises a set of discontinuous surfaces (see Figure 3). The grooves on the lens are arranged facing the PIR sensor. This leaves a flat, dust and weather proof surface facing the outside and protecting the otherwise vulnerable sensor.

Figure 3: Convex lens (left) and Fresnel lens (right)

The Signal Conditioning Circuit To be usable, the signal from the sensor has to be amplified and then converted into a digital value for further analysis in software. A typical block schematic for this application is shown in Figure 4. There are several ways to design a circuit to realize this schematic. The two preferred approaches use either discrete analog components, or, in a more integrated implementation, a mixed-signal programmable array such as a Cypress Semiconductor Programmable System-on-Chip (PSoC) device.

Figure 4: PIR sensor signal conditioning circuit: block diagram

The Discrete Solution The most common approach to PIR sensor signal conditioning is to design the amplifier and signal conditioning stage by using discrete components such as operational amplifiers, comparators, diodes, resistors and capacitors. Next in the signal path, a microcontroller with an integrated ADC performs signal identification and also supports connection to a communications interface, such as a radio. This traditional approach occupies a large PCB footprint. But a PIR sensor produces a very low signal level, so it is essential to keep PCB traces short and the design compact to avoid creating unwanted antennas. These can pick up background noise and RF signals, which can cause the device to trigger falsely. If the PIR sensor is connected to a wireless network (for instance, as part of an intruder alarm system), the danger of this is particularly high.

The PSoC Solution A second approach, which produces a more compact result, is to use a PSoC device from Cypress. The PSoC is a programmable mixed-signal controller with an 8-bit core and a set of analog and digital blocks that can be used to create the functionality needed. Analog blocks that can be realized in the PSoC include ADCs, DACs, filters, amplifiers and comparators. Integrated digital functions include timers, counters, UARTs, SPI and PWMs. Designers using a PSoC will be able to realize the blocks shown in Figure 4 with far fewer components than in the discrete implementation described above. Different devices in the PSoC family provide different numbers and types of digital and analog blocks, offer different memory sizes and use different packages. The first step in implementing a PIR sensor with a PSoC device should be to identify the required analog and digital functionality. When the block diagram is defined, an appropriate device with the right number of programmable blocks can be selected.

Figure 2: A PIR sensor works by sensing the difference in infra-red radiation between one sensing element and another

Conclusion: Why Use a PIR Sensor


While a number of technologies for motion detection exist, including ultrasonic and microwave radiation sensors, the PIR sensor is popular for its ease-of-setup and high performance. In addition, PIR sensors are inexpensive and draw little power. Future Electronics expects the rate of adoption of PIR sensors to grow fast, with applications such as surveillance and alarm systems, as well as power saving devices, driving increased usage. Future Electronics, a broad line component distributor, can supply every component required in a PIR sensor system, including PIR sensors, lenses, discrete components, microcontrollers and PSoC devices. Future Electronics FAEs can provide customers with technical support in the implementation of PIR sensor system designs.

A PIR sensor should not be confused with the thermopile sensor (eg the Melexis MLX90247), the output of which is proportional to static radiation. Thermopiles are more commonly used in temperature measurement devices.

SENSOR CONFIGRATION:

PIR Detector Pros and Cons PROS CONS

The system is single ended, unlike light beam/laser barriers, which require aligned transmitters and receivers.

Can be fooled by someone moving especially fast or slow.

Doesn't work well when the ambient temperature is 7C below body The system is passive: Intruders can't detect temperature (30C), as the detector the presence and location of the detectors (unlike reacts to the contrast between moving radar systems). objects and a stationary background.

The detectors consume less power than IR- or radar-based units. They are unaffected by light, with the detectors working equally well day or night. Precision optics enable detectors to cover narrow areas accurately. The detectors complement cameras, allowing fewer cameras to cover the area. Small size and unobtrusive design help the detectors blend in with their surroundings.

HOME LIGHTING SYSTEM Light Dependent Resistor - LDR Two cadmium sulphide (cds) photoconductive cells with spectral responses similar to that of the human eye. The cell resistance falls with increasing light intensity. Applications include smoke detection, automatic lighting control, and batch counting and burglar alarm systems.

LDR (Light Dependent Resistor) An LDR is a component that has a resistance that changes with the light intensity that falls upon it. They have a resistance that falls with an increase in the light intensity falling upon the device. The resistance of an LDR may typically have the following resistances Daylight = 5000 Dark = 20000000 You can therefore see that there is a large variation between these figures. If you plotted this variation on a graph you would get something similar to that shown by the graph to the right Applications Photoconductive cells are used in many different types of circuits and applications. Analog Applications Camera Exposure Control Auto Slide Focus - dual cell Photocopy Machines - density of toner Colorimetric Test Equipment Densitometer Electronic Scales - dual cell Automatic Gain Control modulated light source Automated Rear View Mirror

Digital Applications Automatic Headlight Dimmer Night Light Control Oil Burner Flame Out Street Light Control

Absence / Presence (beam breaker} Position Sensor

LM324 Quad Comparator: The LM324 series are lowcost, quad operational amplifiers withtrue differential inputs. They have several distinct advantages over standard operational amplifier types in single supply applications. The quad amplifier can operate at supply voltages as low as 3.0 V or as high as 32 V with quiescent currents about onefifth of those associated with the MC1741 (on a per amplifier basis). The common mode input range includes the negative supply, thereby eliminating the necessity for external biasing components in many applications. The output voltage range also includes the negative power supply voltage. Short Circuited Protected Outputs True Differential Input Stage Single Supply Operation: 3.0 V to 32 V (LM224, LM324, LM324A) Low Input Bias Currents: 100 nA Maximum (LM324A) Four Amplifiers Per Package Internally Compensated Common Mode Range Extends to Negative Supply Industry Standard Pinouts ESD Clamps on the Inputs Increase Ruggedness without Affecting

The LM324 series is made using four internally compensated, twostage operational amplifiers. The first stage of each consists of differential input devices Q20 and Q18 with input buffer transistors Q21 and Q17 and the differential to single ended converter Q3 and Q4. The first stage performs not only the first stage gain function but also performs the level shifting and transconductance reduction functions. By reducing the transconductance, a smaller compensation capacitor (only 5.0 pF) can be employed, thus saving chip area. The transconductance reduction is accomplished by splitting the collectors of Q20 and Q18. Another feature of this input stage is that the input common mode range can include the negative supply or ground, in single supply operation, without saturating either the input devices or the differential to singleended converter. The second stage consists of a standard current source load amplifier stage. Each amplifier is biased from an internalvoltage regulator which has a low temperature coefficient thus giving each amplifier good temperature characteristics as well as excellent power supply rejection.

MICROCONTROLLER CONTROLLED KEYPAD LOCKING TECHNIQUE 1, 2, 20 or 40 different user codes With its reliable operation, this digital keypad lock is ideally suited for actuating electronic access systems (e.g. house doors and a garage doors). The right code, consisting of 4 to 8(16) digits in the correct sequence, must be entered to actuate switching. These 1, 2, 20 or 40 codes can be freely programmed by the user, i.e. entered via the keypad. The keypad is blocked for 60 seconds for three incorrect entries. A buzzer is behind the keypad. The respective codes are retained even in the event of a power failure. Function: If the code is entered in the correct sequence, the relay switches on for 2 seconds and then switches off again. The time 2 seconds can be reprogrammed via the keypad. Several keypads can be connected in parallel to one code lock. Split system for higher safety. Specifications: Operating voltage 5 and 12 V DC; Consumption: current 4 mA and power 0,09 W in stand by; Over 100 billion code combinations; Relay switching contacts: Single pole changeover 2 A / 24 V DC, 1 A / 125 V AC. Contacts for Electric striker 12 V DC or AC. Dimensions: Keypad ( 86 x 115 x 15 ) mm, Housing ( 90 x 130 x 60 ) mm

1. OPENING ( For the first time ): 1 2 3 4 # The relay is being activated for 1 second. 2. WRITING YOUR CODE ( For the first time ): 1 2 3 4 # 5 5 0 6 6 0 # For opening the door press: 5 5 0 6 6 0 # The relay is being activated for 2 seconds. 3. CHANGING THE CODE: * UserNo OldCode # NewCode # Example: 5 5 0 6 6 0 # 6 6 0 8 8 0 # Two short beeps indicate that new user code is written. For opening the door press: 6 6 0 8 8 0 # The relay is being activated for 2 seconds.

Radio Frequency Communication Technique


Marconi and the First Wireless Transmissions Radio Frequency (RF) and wireless have been around for over a century with Alexander Popov and Sir Oliver Lodge laying the groundwork for Guglielmo Marconis wireless radio developments in the early 20th century. In December 1901, Marconi performed his most prominent experiment, where he successfully transmitted Morse code from Cornwall, England, to St Johns, Canada. What is RF? RF itself has become synonymous with wireless and high-frequency signals, describing anything from AM radio between 535 kHz and 1605 kHz to computer local area networks (LANs) at 2.4 GHz. However, RF has traditionally defined frequencies from a few kHz to roughly 1 GHz. If one considers microwave frequencies as RF, this range extends to 300 GHz. The following two tables outline the various nomenclatures for the frequency bands. The third table outlines some of the applications at each of the various frequency bands.

Essentials of Radio Communications Radio Communications is the wireless transmission of intelligence through a space of electromagnetic waves. Just like any other electronic communications system, radio communications has a source, a destination and a medium through which the wanted information is passed through. The source is called the Radio Transmitter, the destination is the Radio Receiver and the medium, in this case, is free space where electromagnetic waves can travel. This is the unique feature of radio communications, information can travel through a distance without the need of additional facilities, such as wires, for the transmission of data. Information is embedded into electromagnetic waves that are made to radiate from the transmitter until it reaches a receiver. It is essentially broadcasted into frees pace. This process of impressing information on a carrier electromagnetic wave is called modulation. Modulation can be done by varying some characteristics of the carrier wave, like its amplitude, frequency or phase, according to the desired

information. The reverse process or the extraction of information from the carrier wave is called demodulation. Amplitude and Wavelength To be able to send intelligence by radio, it must first be converted into an electronic form. A transducer, such as a microphone, is used to convert non-electronic information into an electronic one. Once electronic, the information becomes a varying amount of voltage over time that it becomes convenient to represent them graphically. The vertical axis in the example below represents the magnitude of the voltage or the amplitude while the horizontal axis represents time. In a sinusoidal wave, the amount of time over which a certain wave repeats itself is called the wave length. This can be measured by taking the duration of time from one point of the wave up to the next exact same point. It is convenient to do so by considering the peak point. In simple words, the wavelength is the duration of time taken by one complete cycle. Electromagnetic Waves and Frequency So far we have covered electric waves, however, we have to consider that radio waves have two components: electric and magnetic. Radio waves are therefore electromagnetic (EM). EM waves physically exist with these two components and are inseparable. The planes of the fields are perpendicular to each other and to the direction in which the wave is travelling. Another important characteristic of EM waves is Frequency. This is the number of cycles taken by a wave over a certain period of time. This is usually expressed as cycles per second (cps) or Hertz in honor of Heinrich Hertz who proved the existence of electromagnetic waves through experimentation. A 1 Hertz wave is therefore a wave which completes one cycle in one second. Frequency is critical in modern radio applications, EM waves are separated from each other to avoid interference by defining their specific range of frequencies. For example, in some countries, AM radio broadcast stations are located within the 530 - 1700 kHz range while FM broadcast stations exists within the 88-108 MHz range. Mathematically, frequency can be translated into wavelengths using the mathematical formula: Wavelength = c / f Where: Wavelength is in meters f = frequency in Hertz c = is the speed of light in meters per second Radio waves travel at the speed of light. This is equal to is 299 792 500 metres per second but taken as 300 000 000 meters per second for practical purposes. Radio Spectrum EM waves extend over a wide range of frequencies. In the lower range, EM waves exist as audio frequencies followed by radio frequencies. The higher range includes the infrared light, visible light, and ultraviolet light. Still higher frequency EM waves are gamma rays and x-rays. In radio communications, it is important to define the range of frequencies over which a certain service operates. Regulating bodies have set limits as the radio spectrum is a scare resource. To differentiate the areas of radio communication services, the radio spectrum is split into smaller segments.

RF Communication Systems Half-duplex RF Systems Operation mode of a radio communication system in which each end can transmit and receive, but not simultaneously. Note: The communication is bidirectional over the same frequency, but unidirectional for the duration of a message. The devices need to be transceivers. Applies to most TDD and TDMA systems. Examples: Walkie-talkie, wireless keyboard mouse Full-duplex RF Systems Radio systems in which each end can transmit and receive simultaneously Typically two frequencies are used to set up the communication channel. Each frequency is used solely for either transmitting or receiving. Applies to Frequency Division Duplex (FDD) systems. Example: Cellular phones, satellite communication

In many situations a communication link between to devices becomes essential. This communication can be wired or wireless. If two devices are close to each other (like a MCU and a Memory) a wired link is preferred. However in many situations two devices are reasonably far apart. In that case a wireless link is preferred. Two popular wireless communication technologies are
1. IR Communications

Used in IrDA, and Remote controls Short Range Requires two devices to be in line of sight. There should be no Opaque Obstacle in between the devices. Easy and low cost to implement 2. RF Communication o Widely used, including Bluetooth,Radios,Cell phones, Satellite etc o Wide range, from few meters to millions of kilometers (Can be Used to control Robots in Mars) o Does not requires two devices to be in line of sight. o Can cross many obstacles o Circuits can be complicated and costly.
o o o o o

MODULATION METHODS
Starting : we have a low frequency signal and want to send it at a high frequency Modulation: The process of superimposing a low frequency signal onto high frequency signal Three modulation schemes available: 1. Amplitude Modulation (AM): the amplitude of the carrier varies in accordance to the information signal 2. Frequency Modulation (FM): the frequency of the carrier varies in accordance to the information signal 3. Phase Modulation (PM): the phase of the carrier varies in accordance to the information signal Digital Modulation Modulation of digital signals is known as Shift Keying Amplitude Shift Keying (ASK): Pros: simple Cons: susceptible to noise Example: Many legacy wireless systems, e.g. AMR

Frequency Shift Keying (FSK): Pros: less susceptible to noise Cons: theoretically requires larger bandwidth/bit than ASK Popular in modern systems

Gaussian FSK (GFSK), e.g. used in Bluetooth, has better spectral density than 2-FSK modulation, i.e. more bandwidth efficient

Phase Shift Keying (PSK): Pros: Less susceptible to noise Bandwidth efficient Cons:

Require synchronization in frequency and phase complicates receivers and transmitter Example: IEEE 802.15.4 / ZigBee

Basic Building Block of a RF System RF-IC Transmitter Receiver Transceiver System-on-Chip (SoC); typically transceiver with integrated microcontroller Crystal Reference frequency for the LO and the carrier frequency

Balun Balanced to unbalanced Converts a differential signal to a single-ended signal or vice versa Matching Filter Used if needed to pass regulatory requirements / improve selectivity Antenna

Transmitter: Modern transmitters typically use fractional-N synthesizers, For angle modulation like FSK, MSK, O-QPSK, the synthesizer frequency is adjusted

For amplitude modulation like OOK and ASK, the amplifier level is adjusted

Receiver Architecture In radio communications, a radio receiver is an electronic device that receives radio waves and converts the information carried by them to a usable form. It is used with an antenna. The antenna intercepts radio waves (electromagnetic waves) and converts them to tiny alternating currents which are applied to the receiver, and the receiver extracts the desired information. The receiver uses electronic filters to separate the wanted radio frequency signal from all other signals, an electronic amplifier to increase the power of the signal for further processing, and finally recovers the desired information through demodulation. The information produced by the receiver may be in the form of sound (an audio signal), images (a video signal) or data (a digital signal). A radio receiver may be a separate piece of electronic equipment, or an electronic circuit within another device. Devices that contain radio receivers include television sets, FM radios, radar equipment, two-way radios, cell phones, wireless computer networks, GPS navigation devices, satellite dishes, radio telescopes, bluetooth enabled devices, garage door openers, and baby monitors. Example of a receiver: Super heterodyne receiver e.g. CC1000 Converts the incoming signal to an Intermediate Frequency (IF) signal and performs: 1. Carrier frequency tuning selects desired signal 2. Filtering separates signal from other modulated signals picked up 3. Amplification compensates for transmission losses in the signal path

Crystals: Provides reference frequency for Local Oscillator (LO) and the carrier frequency Various types:Low Power crystals (32.768 kHz) Used with sleep modes on e.g. System-on-Chips Crystals Thru hole Tuning fork SMD Temperature Controlled Crystal Oscillators (TCXO) Temperature stability some narrowband applications Voltage Controlled Crystal Oscillators (VCXO) Oven Controlled Crystal Oscillators (OCXO) -- Extremely stable BALUN & Matching s

Antenna: An antenna (or aerial) is an electrical device which converts electric currents into radio waves, and vice versa. It is usually used with a radio transmitter or radio receiver. In transmission, a radio transmitter applies an oscillating radio frequency electric current to the antenna's terminals, and the antenna radiates the energy from the current as electromagnetic waves (radio waves). In reception, an antenna intercepts some of the power of an electromagnetic wave in order to produce a tiny voltage at its terminals, that is applied to a receiver to be amplified. An antenna can be used for both transmitting and receiving. Antennas are essential components of all equipment that uses radio. They are used in systems such as radio broadcasting, broadcast television, two-way radio, communications receivers, radar, cell phones, and satellite communications, as well as other devices such as garage door openers, wireless microphones, bluetooth enabled devices, wireless computer networks, baby monitors, and RFID tags on merchandise. Typically an antenna consists of an arrangement of metallic conductors ("elements"), electrically connected (often through a transmission line) to the receiver or transmitter. An oscillating current of electrons forced through the antenna by a transmitter will create an oscillating magnetic field around the antenna elements, while the charge of the electrons also creates an oscillating electric field along the elements. These time-varying fields radiate away from the antenna into space as a moving electromagnetic field wave. Conversely, during reception, the oscillating electric and magnetic fields of an incoming radio wave exert force on the electrons in the antenna elements, causingB them to move back and forth, creating oscillating currents in the antenna.

Antennas may also contain reflective or directive elements or surfaces not connected to the transmitter or receiver, such as parasitic elements, parabolic reflectors or horns, which serve to direct the radio waves into a beam or other desired radiation pattern. Antennas can be designed to transmit or receive radio waves in all directions equally (omnidirectional antennas), or transmit them in a beam in a particular direction, and receive from that one direction only (directional or high gain antennas). The antenna is VERY important if long range is important A quarter wave antenna is an easy and good solution, but it is not small (433 MHz: 16.4 cm, 868 MHz: 8.2cm) You can curl up such an antenna and make a helical antenna. This is often a good

solution since it utilizes unused volume for a product.

Extending the range of an RF system: 1. 2. 3. 4. Increase the Output power Add an external Power Amplifier (PA) Increase the sensitivity Add an external Low Noise Amplifier (LNA) Increase both output power and sensitivity Add PA and LNA Use high gain antennas Regulatory requirements need to be followed

Communication between User and Remote Garage Door


ASK based RF Communication: RF 1 SP 315/433 Mhz ASK RX 3 Pin /TX 3 pin An RF based communication system includes a transmitter for transmitting a signal. The transmitter is powered by an external power source and has a transmitter counter for generating a count. The count is linearly incremented over time. The transmitted signal includes the count at the time that the signal is transmitted. A receiver is communicatively coupled to the transmitter for receiving the signal transmitted by the transmitter. The receiver has a receiver counter, the receiver counter being asynchronous with respect to the transmitter counter. HT12E/12D Encoder/Decoder IC The HT 12E Encoder ICs are series of CMOS LSIs for Remote Control system applications. They are capable of Encoding 12 bit of information which consists of N address bits and 12-N data bits. Each address/data input is externally tertiary programmable if bonded out. The HT 12D ICs are series of CMOS LSIs for remote control system applications. This ICs are paired with each other. For proper operation a pair of encoder/decoder with the same number of address and data format should be selected. The Decoder receive the serial address and data from its corresponding decoder, transmitted by a carrier using an RF transmission medium and gives output to the output pins after processing the data. Features Encoder

18 PIN DIP Operating Voltage : 2.4V ~ 12V Low Power and High Noise Immunity CMOS Technology Low Standby Current and Minimum Transmission Word Built-in Oscillator needs only 5% Resistor Easy Interface with and RF or an Infrared transmission medium Minimal External Components

Decoder

18 PIN DIP, Operating Voltage : 2.4V ~ 12.0V Low Power and High Noise Immunity, CMOS Technology Low Stand by Current, Tertiary address setting Capable of Decoding 12 bits of Information 8 ~ 12 Address Pins and 0 ~ 4 Data Pins Received Data are checked 2 times, Built in Oscillator needs only 5% resistor VT goes high during a valid transmission Easy Interface with an RF of IR transmission medium

Minimal External Components

What is a RF Module ? A RF Module is a small circuit pre built and tested. They comes in Pair. One is RX or the receiver and other is a TX or Transmitter. The one we will be using is a low cost module of about Rs. 300 per pair in India. They have reasonable range and works very good. Typical data rate is 1000 bits per seconds

RF Modules As you can see they have very low pin count. This is because they are highly modular in design. The PINs are

TX 1. 2. 3. 4. Antenna Vcc (Positive Supply) DATA (Data Input) GND Antenna GND GND Vcc (Positive Supply) Vcc (Positive Supply) DATA DATA GND

RX 1. 2. 3. 4. 5. 6. 7. 8.

BLOCK DIAGRAM
HT12D RX-2-G Decoder

STABLE POWER L293D MOTOR SOURCE

ATMEGA 16PU- FLASH BASED PROGRAMMABLE MICROCONTROLLER

MICROCONTROLLER -BRAIN

MOTOR 12V DC BO MOTORS

DRIVER IC

Wireless Remote controlled machine

Receiver

ANTENNA

RF signal at 40MHz (Received)

RF signal at 40MHz (Transmitted) ControllerAntenna TRANSMITTE R ENCODER HT12E SWITCH CONTROLLER

Home Automation robotic system

MICROCONTROLLER SCHEMATIC

MICROCONTROLLER

A microcontroller (also MCU or C) is a small computer on a single integrated circuit consisting of a relatively simple CPU combined with support functions such as a crystal oscillator, timers, and watchdog, serial and analog I/O etc. program memory in the form of NOR flash or OTP ROM is also often included on chip, as well as a, typically small, read/write memory. Microcontrollers are designed for small applications. Thus, in contrast to the microprocessors used in personal computers and other high-performance applications, simplicity is emphasized. Some microcontrollers may operate at clock frequencies as low as 32KHz, as this is adequate for many typical applications, enabling low power consumption (mill watts or microwatts). They will generally have the ability to retain functionality while waiting for an event such as a button press or other interrupt; power consumption while sleeping (CPU clock and most peripherals off) may be just nano watts, making many of them well suited for long lasting battery applications. Microcontrollers are used in automatically controlled products and devices, such as automobile engine control systems, remote controls, office machines, appliances, power tools, and toys. By reducing the size and cost compared to a design that uses a separate microprocessor, memory, and input/output devices, microcontrollers make it economical to digitally control even more devices and processes. How Microcontrollers Work Microcontrollers are hidden inside a surprising number of products these days. If your microwave oven has an LED or LCD screen and a keypad, it contains a microcontroller. All modern automobiles contain at least one microcontroller, and can have as many as six or seven: The engine is controlled by a microcontroller, as are the anti-lock brakes, the cruise control and so on. Any device that has a remote control almost certainly contains a microcontroller: TVs, VCRs and highend stereo systems all fall into this category. Nice SLR and digital cameras, cell phones, camcorders, answering machines, laser printers, telephones (the ones with caller ID, 20-number memory, etc.), pagers, and feature-laden refrigerators, dishwashers, washers and dryers (the ones with displays and keypads)... You get the idea. Basically, any product or device that interacts with its user has a microcontroller buried inside.

What is a Microcontroller?

A microcontroller is a computer. All computers -- whether we are talking about a personal desktop computer or a large mainframe computer or a microcontroller -- have several things in common:

All computers have a CPU (central processing unit) that executes programs. If you are sitting at a desktop computer right now reading this article, the CPU in that machine is executing a program that implements the Web browser that is displaying this page. The CPU loads the program from somewhere. On your desktop machine, the browser program is loaded from the hard disk. The computer has some RAM (random-access memory) where it can store "variables." And the computer has some input and output devices so it can talk to people. On your desktop machine, the keyboard and mouse are input devices and the monitor and printer are output devices. A hard disk is an I/O device -- it handles both input and output.

The desktop computer you are using is a "general purpose computer" that can run any of thousands of programs. Microcontrollers are "special purpose computers." Microcontrollers do one thing well. There are a number of other common characteristics that define microcontrollers. If a computer matches a majority of these characteristics, then you can call it a "microcontroller":

Microcontrollers are "embedded" inside some other device (often a consumer product) so that they can control the features or actions of the product. Another name for a microcontroller, therefore, is "embedded controller." Microcontrollers are dedicated to one task and run one specific program. The program is stored in ROM (read-only memory) and generally does not change. Microcontrollers are often low-power devices. A desktop computer is almost always plugged into a wall socket and might consume 50 watts of electricity. A battery-operated microcontroller might consume 50 mill watts. A microcontroller has a dedicated input device and often (but not always) has a small LED or LCD display for output. A microcontroller also takes input from the device it is controlling and controls the device by sending signals to different components in the device. For example, the microcontroller inside a TV takes input from the remote control and displays output on the TV screen. The controller controls the channel selector, the speaker system and certain adjustments on the picture tube electronics such as tint and brightness. The engine controller in a car takes input from sensors such as the oxygen and knock sensors and controls things like fuel mix and spark plug timing. A microwave oven controller takes input from a keypad, displays output on an LCD display and controls a relay that turns the microwave generator on and off.

A microcontroller is often small and low cost. The components are chosen to minimize size and to be as inexpensive as possible. A microcontroller is often, but not always, ruggedized in some way. The microcontroller controlling a car's engine, for example, has to work in temperature extremes that a normal computer generally cannot handle. A car's microcontroller in Alaska has to work fine in -30 degree F (-34 C) weather, while the same microcontroller in

Nevada might be operating at 120 degrees F (49 C). When you add the heat naturally generated by the engine, the temperature can go as high as 150 or 180 degrees F (65-80 C) in the engine compartment. On the other hand, a microcontroller embedded inside a VCR hasn't been ruggedized at all. The actual processor used to implement a microcontroller can vary widely. For example, the cell phone shown on Inside a Digital Cell Phone contains a Z-80 processor. The Z-80 is an 8-bit microprocessor developed in the 1970s and originally used in home computers of the time. The Garmin GPS shown in How GPS Receivers Work contains a low-power version of the Intel 80386, I am told. The 80386 was originally used in desktop computers. In many products, such as microwave ovens, the demand on the CPU is fairly low and price is an important consideration. In these cases, manufacturers turn to dedicated microcontroller chips -chips that were originally designed to be low-cost, small, low-power, embedded CPUs. The Motorola 6811 and Intel 8051 are both good examples of such chips. There is also a line of popular controllers called "PIC microcontrollers" created by a company called Microchip. By today's standards, these CPUs are incredibly minimalistic; but they are extremely inexpensive when purchased in large quantities and can often meet the needs of a device's designer with just one chip. A typical low-end microcontroller chip might have 1,000 bytes of ROM and 20 bytes of RAM on the chip, along with eight I/0 pins. In large quantities, the cost of these chips can sometimes be just pennies. You certainly are never going to run Microsoft Word on such a chip -- Microsoft Word requires perhaps 30 megabytes of RAM and a processor that can run millions of instructions per second. But then, you don't need Microsoft Word to control a microwave oven, either. With a microcontroller, you have one specific task you are trying to accomplish, and low-cost, low-power performance is what is important.

AVR ATMEGA 16
8-bit Microcontroller with 16K Bytes in-System Programmable Flash Memory

Features High-performance, Low-power AVR 8-bit Microcontroller Advanced RISC Architecture 131 Powerful Instructions Most Single-clock Cycle Execution 32 x 8 General Purpose Working Registers Fully Static Operation Up to 16 MIPS Throughput at 16 MHz On-chip 2-cycle Multiplier High Endurance Non-volatile Memory segments 16K Bytes of In-System Self-programmable Flash program memory 512 Bytes EEPROM 1K Byte Internal SRAM Write/Erase Cycles: 10,000 Flash/100,000 EEPROM Data retention: 20 years at 85C/100 years at 25C(1) Optional Boot Code Section with Independent Lock Bits In-System Programming by On-chip Boot Program True Read-While-Write Operation Programming Lock for Software Security JTAG- Joint Test Action Group- (IEEE std. 1149.1 Compliant) Interface Boundary-scan Capabilities According to the JTAG Standard Extensive On-chip Debug Support Programming of Flash, EEPROM, Fuses, and Lock Bits through the JTAG Interface Peripheral Features Two 8-bit Timer/Counters with Separate Prescalers and Compare Modes One 16-bit Timer/Counter with Separate Prescaler, Compare Mode, and Capture Mode Real Time Counter with Separate Oscillator Four PWM Channels 8-channel, 10-bit ADC 8 Single-ended Channels 7 Differential Channels in TQFP Package Only 2 Differential Channels with Programmable Gain at 1x, 10x, or 200x Byte-oriented Two-wire Serial Interface Programmable Serial USART Master/Slave SPI Serial Interface Programmable Watchdog Timer with Separate On-chip Oscillator On-chip Analog Comparator Special Microcontroller Features Power-on Reset and Programmable Brown-out Detection Internal Calibrated RC Oscillator External and Internal Interrupt Sources Six Sleep Modes: Idle, ADC Noise Reduction, Power-save, Power-down, Standby and Extended Standby

I/O and Packages 32 Programmable I/O Lines 40-pin PDIP, 44-lead TQFP, and 44-pad QFN/MLF Operating Voltages 2.7 - 5.5V for ATmega16L 4.5 - 5.5V for ATmega16 Speed Grades 0 - 8 MHz for ATmega16L 0 - 16 MHz for ATmega16 Power Consumption @ 1 MHz, 3V, and 25 C for ATmega16L Active: 1.1 mA Idle Mode: 0.35 mA Power-down Mode: < 1 A Pin Diagram:

BASIC CHARACTERISTIC OF Atmega 16(L) Overview The ATmega16 is a low-power CMOS 8-bit microcontroller based on the AVR enhanced RISC architecture. By executing powerful instructions in a single clock cycle, the ATmega16 achieves throughputs approaching 1 MIPS per MHz allowing the system designed to optimize power consumption versus processing speed.

The AVR core combines a rich instruction set with 32 general purpose working registers. All the 32 registers are directly connected to the Arithmetic Logic Unit (ALU), allowing two independent registers to be accessed in one single instruction executed in one clock cycle. The resulting

architecture is more code efficient while achieving throughputs up to ten times faster than conventional CISC microcontrollers. The ATmega16 provides the following features: 16K bytes of In-System Programmable Flash Program memory with Read-While-Write capabilities, 512 bytes EEPROM, 1K byte SRAM, 32 general purpose I/O lines, 32 general purpose working registers, a JTAG interface for Boundary scan, On-chip Debugging support and programming, three flexible Timer/Counters with compare modes, Internal and External Interrupts, a serial programmable USART, a byte oriented Two-wire Serial Interface, an 8-channel, 10-bit ADC with optional differential input stage with programmable gain (TQFP package only), a programmable Watchdog Timer with Internal Oscillator, an SPI serial port, and six software selectable power saving modes. The Idle mode stops the CPU while allowing the USART, Two-wire interface, A/D Converter, SRAM, Timer/Counters, SPI port, and interrupt system to continue functioning. The Power-down mode saves the register contents but freezes the Oscillator, disabling all other chip functions until the next External Interrupt or Hardware Reset. In Power-save mode, the Asynchronous Timer continues to run, allowing the user to maintain a timer base while the rest of the device is sleeping. The ADC Noise Reduction mode stops the CPU and all I/O modules except Asynchronous Timer and ADC, to minimize switching noise during ADC conversions. In Standby mode, the crystal/resonator Oscillator is running while the rest of the device is sleeping. This allows very fast start-up combined with low-power consumption. In Extended Standby mode, both the main Oscillator and the Asynchronous Timer continue to run. The device is manufactured using Atmels high density nonvolatile memory technology. The Onchip ISP Flash allows the program memory to be reprogrammed in-system through an SPI serial interface, by a conventional nonvolatile memory programmer, or by an On-chip Boot program running on the AVR core. The boot program can use any interface to download the application program in the Application Flash memory. Software in the Boot Flash section will continue to run while the Application Flash section is updated, providing true Read-While-Write operation. By combining an 8-bit RISC CPU with In-System Self-Programmable Flash on a monolithic chip, the Atmel ATmega16 is a powerful microcontroller that provides a highly-flexible and cost-effective solution to many embedded control applications. The ATmega16 AVR is supported with a full suite of program and system development tools including: C compilers, macro assemblers, program debugger/simulators, in-circuit emulators, and evaluation kits.

Pin Descriptions VCC Digital supply voltage GND Ground

Port A (PA7..PA0) Port A serves as the analog inputs to the A/D Converter. Port A also serves as an 8-bit bi-directional I/O port, if the A/D Converter is not used. Port pins can provide internal pull-up resistors (selected for each bit). The Port A output buffers have symmetrical drive characteristics with both high sink and source capability. When pins PA0 to PA7 are used as inputs and are externally pulled low, they will source current if the internal pull-up resistors are activated. The Port A pins are tri-stated when a reset condition becomes active even if the clock is not running. Port B (PB7..PB0) Port B is an 8-bit bi-directional I/O port with internal pull-up resistors (selected for each bit). The Port B output buffers have symmetrical drive characteristics with both high sink and source capability. As inputs, Port B pins that are externally pulled low will source current if the pull-up resistors are activated. The Port B pins are tri-stated when a reset condition becomes active, neven if the clock is not running. Port B also serves the functions of various special features of the ATmega16 Port C (PC7..PC0) Port C is an 8-bit bi-directional I/O port with internal pull-up resistors (selected for each bit). The Port C output buffers have symmetrical drive characteristics with both high sink and source capability. As inputs, Port C pins that are externally pulled low will source current if the pull-up resistors are activated. The Port C pins are tri-stated when a reset condition becomes active, even if the clock is not running. If the JTAG interface is enabled, the pull-up resistors on pins PC5(TDI), PC3(TMS) and PC2(TCK) will be activated even if a reset occurs. Port C also serves the functions of the JTAG interface and other special features of the ATmega16 Port D (PD7..PD0) Port D is an 8-bit bi-directional I/O port with internal pull-up resistors (selected for each bit). The Port D output buffers have symmetrical drive characteristics with both high sink and source capability. As inputs, Port D pins that are externally pulled low will source current if the pull-up resistors are activated. The Port D pins are tri-stated when a reset condition becomes active, even if the clock is not running. Port D also serves the functions of various special features of the ATmega16 RESET Reset Input. A low level on this pin for longer than the minimum pulse length will generate a reset, even if the clock is not running. The minimum pulse length . Shorter pulses are not guaranteed to generate a reset. XTAL1 Input to the inverting Oscillator amplifier and input to the internal clock operating circuit. XTAL2 Output from the inverting Oscillator amplifier. AVCC AVCC is the supply voltage pin for Port A and the A/D Converter. It should be externally connected to VCC, even if the ADC is not used. If the ADC is used, it should be connected to VCC through a low-pass filter.

AREF AREF is the analog reference pin for the A/D Converter. Resources A comprehensive set of development tools, application notes and datasheets are available for download on http://www.atmel.com/avr. Note: 1. Data Retention Reliability Qualification results show that the projected data retention failure rate is much less than 1 PPM over 20 years at 85C or 100 years at 25C.

DC MOTORS & DC MOTOR SPEED CONTROLLING


A variety of electric motors provide power to robots, allowing them to move material, parts, tools, or specialized devices with various programmed motions. The efficiency rating of a motor describes how much of the electricity consumed is converted to mechanical energy. Some of the mechanical devices that are currently being used in modern robotics technology include: DC Motor - Permanent-magnet, direct-current (PMDC) motors require only two leads, and use an arrangement of fixed- and electro-magnets (stator and rotor) and switches. These form a commutator to create motion through a spinning magnetic field. Backbone of major actuators in robotics

Available in various shapes, sizes and ratings Easy to use Can run in both directions Speed Controllable

DC MOTOR 1 V DC + 1 +

DC MOTOR

A
2 V DC

A
2

Geared Motors: A DC motor with a gear head on its output shaft Principle of gear reduction used Amplifies torque and reduces speed Absolutely necessary Available in wide range of gear reduction ratios How to control DC Motors: Direction of rotation controlled by polarity of current / voltage Speed of rotation controlled by average energy (power) fed to the motor

DC Motor Specification: Operating Voltage: Recommended voltage for powering the motor Operating Current: Current drawn at a certain load on the shaft Stall Current: Maximum current drawn, when motor not allowed to rotate Stall Torque: Rotation force needed to hold the motor in stall condition.

DC Motor Characteristic: Free running torque & current are ideally zero Increased load implies, increased torque, current drawn & power consumption Power supplied by a motor is the product of output shafts rotational velocity & torque DC Motors are highspeed, low-torque devices Using gears, the high speed of the motor is traded off into torque

S1 1 0 1 0

S2 0 1 1 0

S3 0 1 0 0

S4 1 0 0 0

Current Direction Effect 1 to 2 2 to 1 Motor spins forward Motor spins backward Braking Occurs Free running

MOTOR DRIVER/ AUTOMATED SWITCHING DEVICE We know most of the basic Integrated circuits works at operating voltage of 5 volts, but when we use motors their operating voltage is 12 volts so we need a virtual switch which can receive signals and switch power signals. For this reason we cannot drive motors directly through microcontrollers. So we use the concept of H-bridge to drive the motors these H bridges come in IC packages Of L298, L293d, ULN 2003. Motor Drivers: L293D

Specification: Most Commonly used H bridge Sources/Sinks 600mA of current Two dc motors or one stepper motor control Inbuilt fly-back diodes Small 16 pin DIP

Featuring Unitrode L293 and L293D Wide Supply-Voltage Range: 4.5 V to 36 V Separate Input-Logic Supply Internal ESD Protection Thermal Shutdown High-Noise-Immunity Inputs Functional Replacements for SGS L293 and SGS L293D Output Current 1 A Per Channel(600 mA for L293D) Peak Output Current 2 A Per Channel(1.2 A for L293D) Output Clamp Diodes for Inductive Transient Suppression (L293D) The L293 and L293D are quadruple high-current half-H drivers. The L293 is designed to provide bidirectional drive currents of up to 1 A at voltages from 4.5 V to 36 V. The L293D is designed to provide bidirectional drive currents of up to 600-mA at voltages from 4.5 V to 36 V. Both devices are designed to drive inductive loads such as relays, solenoids, dc and bipolar stepping motors, as well as other high-current/high-voltage loads in positive-supply applications. All inputs are TTL compatible. Each output is a complete totem-pole drive circuit, with a Darlington transistor sink and a pseudo-Darlington source. Drivers are enabled in pairs, with drivers 1 and 2 enabled by 1,2EN and drivers 3 and 4 enabled by 3,4EN. When an enable input is high, the associated drivers are enabled and their outputs are active and in phase with their inputs. When the enable input is low, those drivers are disabled and their outputs are off and in the highimpedance state. With the proper data inputs, each pair of drivers forms a full-H (or bridge) reversible drive suitable for solenoid or motor applications. On the L293, external high-speed output clamp diodes should be used for inductive transient suppression. A VCC1 terminal, separate

from VCC2, is provided for the logic inputs to minimize device power dissipation. The L293and L293D is characterized for operation from 0C to 70C.

Motor Driver Control Schematic:

POWER SYSTEMS /GENERATION OF LOGIC OUTPUT


Power is the basic need of every machine and for a automated system ,power should be plentiful and efficient. And for a mobile system power should also be flexible and long lasting. But what type of power should be given to the motors. So for a automated system we should always use rechargeable batteries About Batteries With the advent of portable personal electronics, from cell phones to mp3 players, to laptops and even watches, there has been a massive surge in battery research within the last decade. No longer are your robots limited to bulky low power nonrechargeable batteries, and instead today there is a large assortment to suit your robots' demands. Ratings: How are batteries rated? With any battery you will see a voltage and a power rating. Battery voltages can be somewhat complicated. When fully recharged, a battery will often be 15% above its voltage rating. When fully discharged, about 15% below its rating. A fully charged battery will also immediately drop below its rating when driving heavy loads, such as a DC motor. To increase battery voltage, wire multiple of them in series. Batteries also cannot supply an infinite current. So expect batteries of different types but equal voltages to have different current outputs. To increase battery current output, wire multiple of them in parallel. This is why batteries often come in assembled packs of smaller cells. So when using a battery, make sure your circuit handles changes in battery voltage. For the power rating you will see something like 1200mAh. mAh means milliamps per hour. So if it is 1200mAh, that means the battery can supply 1.2 amps for one hour. Or 2.4 amps for 30 minutes. Or .6 amps for two hours. You do the math. What is the difference between mA and mAh? mAh is how much current it 'stores', while mA is the max power it can give. For example 1500mAh means it can give your robot 1.5 A for one hour before the battery dies. Or 3A for 30 min. Or 6A for 15 min. While 1500mA means it can give your robot 1.5A until the cows come home, but no more than that.

STABLE POWER SOURCE AND LOGIC VOLTAGE


All the circuits using electronic components always demand stable power supply for their efficient functioning but according to TTL logic (Transistor Transistor Logic) the maximum power drawn by ICs for their functioning is 5 Volts. But then again how to get this stable 5 volts for that we use power regulator mosfet 7805. 7805- Positive voltage regulator

Basic Interfacing & Programming Technique


Microcontrollers- The Brain
We here are using a microcontroller called Atmega 16 This is an 8 Bit microcontroller designed by ATMEL Corporation. So here are some basics for the Microcontroller: 8-bit RISC Architecture, single cycle instructions

Principle features include Internal oscillators, timers, UART, SPI, PWM generation, ADC, analog comparator and more Amenability to C programming In-System-Programmable (ISP) Flash Memory How to Program the microcontroller: ISP Flash Memory: ISP : In-System-Programmable Flash ROM No need of specialized programmer hardware No need to remove processor from circuit for reprogramming

Simple Parallel Port Programmer:

Make your own parallel port programmer: PIN 6 ------SCK PIN7 -------MOSI PIN9 -------RESET PIN10 ------- MISO Connect Pin 3 & 11 together Connect pin 2 & 12 together PIN 18 25 Ground Leave the VCC pin. Parallel Port Properties: Commonly known as the printer port 25 pin D-Type connector It has 12 digital output pins, 5 digital input pins Pins operate at the TTL voltage level i.e. 0 5V Port identified by a base address in the computer I/O memory space

Programming: Why C? Mid-level language Long History Extensive support Logical Easy syntax Easy to learn and use Cross platform support Its free!

Softwares needed for programming: AVR STUDIO- Can do basic programming using C logic WINAVR- provides all the required drivers for Atmel Chips PONYPROG Hex file burner LPT Probe for parallel port USERPORT Probe to setup before using parallel port

AVR Studio: AVR studio is c compiler program developed by Atmel Corporation to program and compile codes and instructions that have to be burned into the microcontroller chips. Using this software we can easily implement logic using c as the programming language. This program after successful execution of the given code will then compile and divide to following file like firebot.asm,

firebot.hex, firebot. C .

WinAVR Windows AVR is the standard windows driver program for AVR based microcontroller chips, WinAVR also acts as a windows compiler which converts the written c program to recognizable hex code to be used by the microcontroller.

PonyProg Ponyprog is an universal programming assistant and a hex burner using Ponyprog we can decode our programs and read HEX files these HEX files are then with the help of Ponyprog burned onto the chip using ISP- In System Programmer.

LPT- parallel port probe: using this probe we can check and verify data which is transferred

Programming concept: We will refer to AVR studio V 4.18: As in C here are also many similarities such as library functions like #include<avr/io.h>// to include input/output file #include<avr/delay.h>// to include delay file #include<compat/deprecated.h> //used to include set bit and clear bit files #define F_cpu 1000000 //define that the microcontroller is working at a speed of 1 Mhz so we can define delay 1000 as 1 second. DDRA used to define as data port When you use { DDRA= 0xFF //then it acts as output When you use {DDRA= 0X00 // then we take as input When you use {PORTA=0xFF // then we make port A high When you use {PINA = 0x00 // then we take PINA as Input

LED Blinking Test Program: #include<avr/io.h>

#include<avr/delay.h> void main() { DDRC = 0b11111111; while(1) { PORTC = 0b10101010; _delay_ms(1000); PORTC = 0b01010101; _delay_ms(1000); PORTC = 0b00001111; _delay_ms(1000); PORTC = 0b11110000; _delay_ms(1000); } } Code for Motion: #include<avr/io.h> #include<avr/delay.h> #define F_CPU 1000000UL void main() { DDRD=0xff;//declaring port for output DDRA=0x00;//declaring port for input unsigned char x; while(1) { x=PINA & 0b00000111;//reading data from sensor PORTD=0b00001111;//default stop for motor if(x == 1)//checking for sensor condition { PORTD = 0b00001011; _delay_ms(100); PORTD = 0b00001111; _delay_ms(100); PORTD = 0b00011101; _delay_ms(100); } } } PRACTICAL IMPLEMENTATION An automated home can be a very simple grouping of controls, or it can be heavily automated where any appliance that is plugged into electrical power is remotely controlled. Costs mainly

include equipment, components, furniture, and custom installation. Ongoing costs include electricity to run the control systems, maintenance costs for the control and networking systems, including troubleshooting, and eventual cost of upgrading as standards change. Increased complexity may also increase maintenance costs for networked devices. Learning to use a complex system effectively may take significant time and training. Control system security may be difficult and costly to maintain, especially if the control system extends beyond the home, for instance by wireless or by connection to the internet or other networks. Technologies like Home Automation Systems are still under considerations and have not been developed up to the level of ultimate maturity. The Smart House is supposed to be a house whose electric appliances can be remotely controlled (while residing with inside or outside the house) using computer, remote control, mobile or voice recognition systems etc. But due to the lack of integration in available tools, technologies and limited relevant hardware availability, it is very difficult to produce a system which can be controlled fully as desired. The motivation behind this research was to propose, design and implement a home automation system i.e. Smart House; a computerized and digitally mobile home automation system towards electric appliance control and security system. Using developed system, a houses electric appliances can be controlled via computer and mobile manually, automatically or by scheduling operations e.g. automatically turning on or off switches at particular date and time. Furthermore it requires the proposition and implementation of a security system for not only tracking the interruption but also intelligently taking immediate responses. The main objectives of this research and development were To construct a complete automated home which can control major electric components via computer and mobile. System should not only provide complete manual control of electric devices but also provide the accessibility of scheduling (date and time) devices using the computer and mobile devices. The record of all the activities e.g. Time of on and off of the houses electric devices (switches) should also be stored and maintained in database. The graphical user interface should be flexible and friendly so then a normal local user can easily understand, use and adopt the system. A phone dialer should also be provided to make manual and automatic phone calls, the main purpose of this phone dialer would be to automatically make phone calls to the concerned person when some security disturbance happens. The mailbox should also be provided to manually and automatically check and send emails, the main purpose of this mailbox would be to automatically send information to concerned persons email when some security disturbance happens and most important data needs to be saved User authentication system should also be there to secure software usage; to avoid illegal manipulation of the system remotely; as only the administrator should have the complete control of house including all provided options

FUTURE SCOPE Future of Automation: Future will be of Automation of all products. Each and every product will be smart devices that we use daily and that will be controlled through a smart chip called microcontrollers. Each and Every home appliances will be controlled either by PC or hand held

devices like PDA or mobile handsets. Some examples of it are when you want you can switch on/off Fan of your home by mobile handset or PC. Smart Grid: Home automation technologies are viewed as integral additions to the Smart grid. The ability to control lighting, appliances, HVAC as well as Smart applications (load shedding, demand response, real-time power usage and price reporting) will become vital as Smart Grid initiatives are rolled out. This proposition can lead to many benefits in terms of its usage by saving time, improving security and reducing electricity usage by limiting the unnecessary use of electric appliances e.g. some lights are turned on every night and need to be turned off early morning which can be scheduled. It can also be very beneficial, in case if the user is a special/disabled person, then he or she can control the electric appliances without going to the every switch by foot. Due to its mobile use, it could be very use full for busy persons or those who normally forget things e.g. if some person left for his job early morning then he can later using his mobile can check might he has forgotten to close some lights etc. Furthermore, if the records of all electric appliances (on and off timings etc.) are saved and maintained in some particular system (database), then even user can verify the electricity bill as well.

CONCLUSION The project works very much satisfactorily in the test condition. The accuracy obtained is quiet reliable. The challenge of creating a home automation system to operate in lighting

conditions that are not fixed is a difficult one. Completing each of the different components was not easy. However, doing this project the hardest task was integrating all of its components. The experience and the difficulties faced taught us valuable lessons that we can use on future projects.

REFERENCES: http://www.smartcomputing.com/editorial/article.asp?article=articles%2 F1995%2Fmar95%2Fpcn0323%2Fpcn0323.asp retrieved 2010 09 02

"U.S. Patent 613809: Method of and apparatus for controlling mechanism of moving vessels and vehicles". United States Patent and Trademark Office. 1898-11-08. Retrieved 2010-06-16. [3] William C. Mann (ed.) Smart technology for aging, disability and independence : the state of the science, John Wiley and Sons, 2005 0471-69694-3, pp. 34-66 http://www.drdobbs.com/184404040;jsessionid=IM5NJPJYWXAOFQE 1GHPCKH4ATMY32JVN Dag Spicer, If You Can't Stand the Coding, Stay Out of the Kitchen, Dr. Dobb's Journal, August 2000 , retrieved 2010 Sept 2 "Home automation costs". Totalavcontrol.co.uk. Retrieved 2010-02-18. "About Us". InsteonSmartGrid.com. Retrieved 2009-11-20. Worlds Open Protocol ISO/IEC 14543-3 KNX www.knx.org Zeeshan Ahmed: "Ele-Comp-Hus; Digitally Mobile and Computerized House", ISBN13: 978-3-8383-5209-1, LAP Lambert Academic Publishing Germany, March 2010. I2CChip.com: PCF8574 8 Bit IO Port, last reviewed 09 June 2011, http://www.i2cchip.com/pcf8574.html Relays, last reviewed 09 June 2011, http://www.kpsec.freeuk.com/components/relay.htm Transistors,last reviewed 09 June 2011, <ttp://www.kpsec.freeuk.com/components/tran.htm> Diodes, last reviewed 09 June 2011, http://www.pbs.org/transistor/science/info/diodes.html Transistor Museum- History of Crystal Diodes, 195 Germanium radio Detectors, Vol 1, 2008 Resistance, last reviewed 09 June 2011, http://www.kpsec.freeuk.com/resistan.htm Capacitors, last reviewed 09 June 2011, http://www.kpsec.freeuk.com/components/capac.htm Power Supplies, last reviewed 09 June 2011, http://www.kpsec.freeuk.com/powersup.htm Light Emitting Diodes (LEDs), last reviewed 09 June 2011, http://www.kpsec.freeuk.com/components/led.htm

A tutorial on Parallel port Interfacing , last reviewed 09 June 2011, <http://logix4u.net/Legacy_Ports/Parallel_Port/A_tutorial_on_Parallel_port_Interfacing .html> C Programming and C++ Programming, last reviewed 09 June 2011, http://www.cprogramming.com/ Nokia Mobile Internet Toolkit, last reviewed 09 June 2011, <http://nokia-mobileinternettoolkit.software.informer.com/> Java, last reviewed 09 June 2011, http://www.java.com/en/download/index.jsp The Kawa language framework, last reviewed 09 June 2011, http://www.gnu.org/software/kawa/ Apache Tomcat, last reviewed 09 June 2011, http://tomcat.apache.org/ Website Meta Language, last reviewed 09 June 2011, http://thewml.org/ Getting Started With Circuit Maker, last reviewed 09 June 2011, http://babbage.cs.qc.edu/courses/cs343/Circuit_Maker/ Rose, last reviewed 09 June 2011, <http://www01.ibm.com/software/awdtools/developer/rose/> Parallel cables pinout and port info, last reviewed 09 June 2011, http://www.lammertbies.nl/comm/cable/parallel.html A Historical Perspective, last reviewed 09 June 2011, http://www.omega.com/literature/transactions/volume1/historical1.html . Zeeshan Ahmed and Saman Majeed (2011). "Machine Learning and Data Optimization using BPNN and GA in DOC", Int. j. emerg. sci. 1(2):108-119 Zeeshan Ahmed (2011). "Designing Flexible GUI to Increase the Acceptance Rate of Product Data Management Systems in Industry", International Journal of Computer Science & Emerging Technologies 2(1): 100-109 .Zeeshan Ahmed (2010). "Proposing LT based Search in PDM Systems for Better Information Retrieval", International Journal of Computer Science & Emerging Technologies. 1(4): 86-112

Potrebbero piacerti anche