Sei sulla pagina 1di 6

Three-Phase Induction Motor Drive by FPGA

Farzad Nekoei*, Yousef S. Kavian**, and Ali Mahani*** *Faculty of Engineering, Shahid Chamran University, Ahvaz, Iran, **Faculty of Engineering, Shahid Chamran University, Ahvaz, Iran, y.s.kavian@scu.ac.ir ***Faculty of Engineering and Technology, Shahid Bahonar University, Kerman, Iran

Abstract: Induction motors are mainly employed in industrial processes as actuators and final elements. Hardware implementation of induction motor control and drive systems is an important issue of industrial motor applications. The field programmable gate array (FPGA) technology provides programmable system-on-chip (PSoC) environments for designing modern digital ASIC controllers for specific applications. This paper presents a FPGA based speed control IC for three-phase induction motor drives. The sinusoidal PWM is realized on a single FPGA chip from Xilinx Inc. to provide controlling switching pulses for inverter block. In the experiment, the speed control is done by adjusting modulation index and frequency from the FPGA side. The performance of the proposed FPGA based drive is compared with soft drive approach in electrical machine laboratory where variable voltage and frequency power supplies are used for motor speed control. Simulation and experimental results, given to verify the implemented SPWM control IC, demonstrate that FPGA-based solution for induction motor drive is flexible, low cost and highperformance.

Keywords: Industrial processes, Induction motor drives, FPGAs, SPWM, speed control. 1. Introduction Hardware implementation of induction motor control and drive systems is an important issue in industrial applications. Nowadays FPGA technology received much attention by industrial researchers for designing and implementing high-performance ASIC digital controller with dedicated architecture for induction motor control [1,2]. The FPGAs provide programmable system-on-chip designing environments by incorporating the programmability of programmable logic devices and the architecture of gate arrays [3] which makes it an appreciate solution for drive applications. Unlike traditional solutions based on microprocessors [4] and digital signal processing (DSP) devices [5, 6], the FPGAs introduce hardware parallelism by breaking the paradigm of sequential execution. A field programmable gate array is a set of digital logic gates and configurable logic blocks which can be reprogrammed to meet the desired motor-control features [7]. While the assembly and high level languages like C are used by microcontrollers and DSPs, the technology

independent hardware description languages including VHDL [8] and Verilog [9] are used to program FPGAs. The digital FPGA-based solutions have been successfully employed for motor control applications. Lin-Shi et al. [10] presented a FPGA-based implementation of a hybrid control strategy applied to a permanent-magnet synchronous motor. In [11] a new space vector pulse width modulation algorithm for multilevel multiphase voltage source converters with switching state redundancy was introduced and implemented in a field-programmable gate array using a five-level five-phase inverter feeding a motor. Zhang and Li [12] applied stochastic theory to the design and implementation of field-oriented control of an induction motor drive using a single FPGA device and integrated neural network algorithms. A field-programmable gate array based adaptive back-stepping control system with radial basis function network observer was proposed to control the mover position of a linear induction motor by Lin et al. [13]. Parma and Dinavahi [14] presented a FPGA based realization of a real-time simulator for a complete induction machine drive. Lin et al. [15] presented a FPGA based position sensor less control scheme for four-switch three-phase brushless dc motor drives. A FPGA-based adaptive back-stepping slidingmode controller was proposed by Lin et al. [16] to control the mover position of a linear induction motor drive to compensate for the uncertainties including the friction force. Three anti-windup algorithms were proposed for a digital PI-speed controller to improve the control performance of variable-speed motor drives by Zhang et al. [17]. The primary contribution of this paper is to design and implement a FPGA-based digital controller for threephase induction motor drives. The sinusoidal pulse width modulation method is realized on FPGA to generate controlling switching pulses for insulated-gate bipolar transistors (IGBTs) in drive system implementing a Verilog hardware description language (HDL) code. The rest of the paper is organized as follows. Section 2 presents the principals of induction motor control. The FPGA based motor drive system is presented in section 3. The experimental set up and practical results are

described in section 4. Finally the paper is concluded in section 5. 2. Principals of Induction Motor Control

as m =

AR . By changing modulation index from 0 to 1 AC

the output voltage changes from 0 to Vspwm which could be used for motor speed control. The internal block diagram of the proposed programmable FPGA-based SPWM control IC is depicted in Fig. 3 which consists of six blocks for settings of the amplitude, frequency, and phase of stator voltage, the switching frequency of PWM, and the delay time for IGBTs. These parameters are adjusted by some external hardware, such as digital switches analog to digital converter (ADC), digital switches, and an AVR microcontroller. The blocks were implemented on FPGA using Verilog hardware description language. A) Discrete Sine Wave. This module generates a discrete sine wave as reference signal by providing different samples from a continues sine wave, shown in Fig. 4(a). The frequency of sine wave varies between 20Hz to 60Hz which could be used for motor speed control. B) Pulse Width Modulation. The PWM module consists of 8-bit up/down counter which makes a triangle wave used as carrier signal. As shown in Fig. 4(b), the voltage of motor and consequently the speed of motor could be adjusted by modulation index (M).

The steady-state model, shown in Fig. 1, is used for induction motor control [18]. The motor slip, s, is defined as;

s=

e r e

(1)

where

e and r are excitation frequency and motor

shaft speed, respectively. The power supplied to the shaft and the shaft torque can be expressed as;

Pshaft =
Te =

1 s R2 i2 2 s
3 Vin R2 s
2

(2) (3)

e [( sR1 + R2 )2 + s 2 ( X 1 + X 2 )2 ]

where: R1 : The stator series resistance

X 1 : The stator leakage reactance R2 : The rotor series resistance X 2 : The rotor leakage reactance X m : The magnetizing reactance RC : The shunt resistance due to the core losses Consequently, the motor torque could be controlled by varying the magnitude of the applied stator voltage and excitation frequency. The motor speed control is accomplished by adjusting the input voltage until the machine torque for a given slip matches the load torque. . Furthermore, with constant input voltage, the input current increases as the input frequency decreases.

H1

H2

H3

L1

L2

L3

Sinusoidal PWM FPGA based Digital Controller

Fig. 2: FPGA-based digital drive control Fig. 1: Induction motor steady-state model

3. Principals of Induction Motor Control The FPGA-based digital ac drive control structure is illustrated in Fig. 2. This architecture is the major control scheme for ac drives. The proposed SPWM control IC could also be incorporated as part of the digital control loop for ac motor drives. In SPWM approach a sine wave is employed as reference signal which is compared to a triangular carrier signal to provide switching pulses [18]. The output signal is high when the amplitude of reference signal, AR , is greater than that for carrier signal , AC , otherwise is low. The modulation index is defined

C) Delay Time. Practically the IGBTs have delay times called t d for switching from high to low which is around

1s [19]. The Delay Time module receives PWM outputs


and provides 1s delay time for turning IGBTs to protect the phase legs from short circuiting. D) Phase Conrol. This module enables each phase when the previous one is in 120 degrees position, shown in Fig. 4(c). E) Direction Module. This module changes the motor rotation direction, shown in Fig. 4(d).

F) Frequency Divider. This module provides the frequencies that are needed for discrete sine wave and PWM modules from oscillator signal. The motor speed could be controlled by changing the output frequency this module.

Fig. 4(c): The phase control

Fig. 3: The internal block diagram of FPGA based digital controller Fig. 4(d): The rotor direction control

4.

Experimental Results

Fig. 4(a): Sampling from continues sine wave

The set up is shown in figure 7. This setup consists of FPGA controlling board and power circuit board. The FPGA-Xilinx SPARTAN 3- XC3S400-5I-PQ208 is used to develop a full digital controller for 3-phase motor drive. In the experimental system, the SPWM switching frequency of the inverter can be adjusted and the dead time is 1s. The control algorithms are implemented by Verilog-hardware description language codes. The power circuits consists of a smart power modules (SPMs) [19] where each SPM consists of six sets of IGBT-type power transistors for 3-phase induction motor drive. The collector-emitter voltage of the IGBT is 600 V, and the collector current in dc is 30A. The IGBTs are used in switching mode where the forward characteristic of the IGBT can be represented by a linear substitute characteristic. The power circuit converts single-phase or three-phase AC input signals to DC output signals which consist of two diode bridges, four electrolytic capacitors and four tantalum capacitors.

Fig. 4(b): The effect on modulation index on output voltage of SPWM

Fig. 5: shows the block diagram of motor speed control by FPGA

Fig. 6: The experimental setup

The AVR microcontroller is programmed to control the speed of fans according to heat sink temperature, calculating discrete current of each phase and sending it to FPGA and calculating RPM of the motor by an optocounter. Fig. 5 shows the block diagram of motor speed control by FPGA. In the experiment, the speed control by voltage and frequency are used to evaluate the performance of the proposed drive system.

Two approaches are compared for speed control. First the motor speed control is done by variable voltage and frequency power supplies in machine laboratory called motor soft drive. Then FPGA is used for motor speed control as hard drive. The speed control results in both approaches are shown in Fig. 7 and Fig. 8. In frequency control approach, the frequency changes from 20Hz to 60Hz.

3000 Soft Drive 2500 Speed(RPM) FPGA based Drive

2000

1500

1000

500 20 45 70 95 120 145 Voltage(V) 170 195 220 245

Fig. 7: Speed control by voltage

3400

3000

2600 Speed(RPM)

Soft Drive FPGA based Drive


2200

1800

1400

1000 20 40 Freqency (HZ) 60

Fig. 8: Speed control by frequency

5. As shown, FPGA based control give a perfect tracking with the soft drive, both in low and high speeds. For voltage based control, the voltage changes from 20V to 220V by adjusting modulation index (M) in sinusoidal PWM from 0.1 to 1. The FPGA based control tracks the soft drive, both in low and high speeds give a perfect tracking with the soft drive, both for low and high speeds. Therefore, from the experimental results, it demonstrates that the proposed FPGA-based controller IC for motor drive is effective and correct.

Conclusions

The rapid advances in very large scale integration (VLSI) technology lead to implement modern high speed digital controllers with excellent performance, compared to traditional analog control systems. The field programmable gate array (FPGA) devices provide programmable system-on-chip (SoC) environments for designing powerful ASIC controllers with dedicated architectures. This paper presents a FPGA-based digital controller proposed to control induction three-phase motor drives using a single SPARTAN 3 chip, XC3S400-5I-PQ208, from Xilinx, Inc. The sinusoidal pulse width modulation (SPWM) method is realized on

FPGA to generate controlling switching pulses for insulated-gate bipolar transistors (IGBTs) in drive system implementing a Verilog hardware description language (HDL) code. The experimental and simulation results demonstrate the efficiency of FPGA-based solutions for implementing dedicated SPWM controller for induction motor drives.

References
[1] M.-W. Naouar, E. Monmasson, and A.A. Naassani, FPGA-based current controllers for AC machine drives-A review, IEEE Transaction on Industrial Electronics, vol. 54, no. 4, pp. 1907-1925, 2007. [2] E. Monmasson, M. N. Cirstea, FPGA design methodology for industrial control systems-A Review, IEEE Transaction on Industrial Electronics, vol. 54, no. 4, pp. 1898-1906, 2007. [3] W.Wolf, FPGA-Based System Design. Englewood Cliffs, NJ: Prentice- Hall, 2004. [4] C. Cecati, Microprocessors for power electronics and electrical drives applications, IEEE Ind. Electron. Soc. Newslett., vol. 46, no. 3, pp. 5-9, 1999. [5] M.M. Morcos and A. Lakshmikanth, DSP-based solutions for AC motor drives, IEEE Power Engineering Review, vol. 19, no. 9, pp. 5759, 1999. [6] S. Meshkat and I. Ahmed, Using DSPs in AC induction motor drives, Control Engineering, vol. 35, no. 2, pp. 54-56, 1988. [7] J.J. Rodriguez-Andina, M. J. Moure, and M.D. Valdes, Features, design tools, and application domains of FPGAs, IEEE Transaction on Industrial Electronics, vol. 54, no. 4, pp. 1810-1823, Aug. 2007. [8] H.C.Roth, Circuit Design with VHDL. Cambridge, MA: MIT Press, 2004. [9] S.Palnitkar, Verilog HDL, A Guide to Digital Design and Synthesis. Englewood Cliffs, NJ: Prentice-Hall, 1996. [10] Xuefang Lin-Shi, F. Morel, A.M. Llor, B. Allard, Jean-Marie Rtif, " Implementation of Hybrid Control for Motor Drives", IEEE Transaction on Industrial Electronics, vol. 54, no. 4, pp. 1946-1952, 2007. [11] scar Lpez, Jacobo lvarez, Jess Doval-Gandoy, and Francisco D. Freijedo, "Multilevel Multiphase Space Vector PWM Algorithm With Switching State Redundancy", IEEE Transaction on Industrial Electronics, vol. 56, no. 3, pp. 792-804, 2009. [12] Da Zhang, and Hui Li, "A Stochastic-Based FPGA Controller for an Induction Motor Drive With Integrated Neural Network Algorithms", IEEE Transaction on Industrial Electronics, vol. 55, no. 2, pp. 551-561, 2008. [13] F.J. Lin, L.T. Teng, C.Y. Chen, Y.C. Hung, "FPGA-based adaptive back-stepping control system using RBFN for linear induction motor drive", IET Electric Power Applications, vol. 2, No. 6, pp. 325340, 2008. [14] G.G. Parma, and V. Dinavahi, "Real-Time Digital Hardware Simulation of Power Electronics and Drives", IEEE Transaction on Power Delivery, vol. 22, no. 2, pp. 1235-1246, 2007. [15] Cheng-Tsung Lin, Chung-Wen Hung, and Chih-Wen Liu, "Position Sensorless Control for Four-Switch Three-Phase Brushless DC Motor Drives", IEEE Transaction on Power Electronics, vol. 23, no. 1, pp. 438-444, 2008 [16] Faa-Jeng Lin, Chih-Kai Chang, and Po-Kai Huang, " FPGA-Based Adaptive Back stepping Sliding-Mode Control for Linear Induction Motor Drive", IEEE Transaction on Power Electronics, vol. 22, no. 4, pp. 1222-1231, 2007. [17] Da Zhang, Hui Li, and Emmanuel G. Collins, "Digital AntiWindup PI Controllers for Variable-Speed Motor Drives Using FPGA and Stochastic Theory", IEEE Transaction on Power Electronics, vol. 21, no. 5, pp. 1496-1501, 2006. [18] Timothy L. Skvarenina (ed.), "The power electronics handbook", CRC PRESS, 2002. [19] www.fairchildsemi.com

Potrebbero piacerti anche