Sei sulla pagina 1di 6

PULL nano 30 News from the European 32/22nm CMOS Research pool at month 30 December 2008

32nm EU reference project delivers !


After 30 months of hard work, the Pullnano project has successfully
achieved the development of a 32nm CMOS technology in Europe. The Stéphane Monfray
highly motivated consortium of 38 partners releases its final results : works in the Ad-
three functional demonstrators validating the 32nm technology, the vanced Devices
definition of suitable approaches for the future 22nm node, transistor Research team at
architecture simulation and statistical variability assessments in 32 and STMicroelectro-
22nm, and the creation of a forum for european equipment nics and coordina-
suppliers. Scanning results vs initial Pullnano's objectives. ted the 32nm
technology feasibi-
e l e c t r o n i c s

lity subproject in
The limits of miniaturization have Pullnano : « The
not yet been reached ! feasibility of the chosen thin film technology so-
lutions and the FDSOI and FinFET architectures
In charge of Pullnano's first objective, subproject 8 has some "world’s
has been demonstrated, and the results show a
firsts" demonstrators to its credits. Highlights by Stephane Monfray
from STMicroelectronics and SP8 coordinator. further scale reduction potential down to 22nm,
and even to 16nm. »
Can we safely talk about a full success re- the alignment between levels of lithography mo-
garding the major objectives of Pullnano ? dules, as we work at the limits of what's techni-
As announced at the IEDM 2007 conference, cally possible with the available equipments.
we demonstrated, for the first time, the func- The FDSOI device was made via e-beam litho-
tionality of a 0,179 µm2 6T-SRAM bitcell, the graphy on a Vistec SB350 in Crolles (France). It
N a n o C M O S

smallest SRAM cell size ever obtained with a features a HfSiON/TiN gate stack. The FinFET
High K dielectric/metal gate architecture on a device was fabricated at IMEC with an advan-
fully depleted Silicon on Insulator (FDSOI) de- ced immersion lithography technique on a
vice. At this year's IEDM, the consortium will TwinScan 1700i (193nm) from ASML ... and with
present a similar sized 6T SRAM cell based on next generation EUV lithography for the contact
a multigate FET architecture, i.e. a FinFET, thus openings. Among the many challenges figured
demonstrating the successful patterning of also the processing of thin films with just C.Fenouillet-Beranger et al, IEDM07
very challenging structures at gate level in the 10nm thickness (or
32nm technology node. Thin films devices are less) and the epitaxially The 0,179 µm2 FDSOI
SRAM bitcell was presented
one of the most promising solutions for sub raised source and drain at IEDM 2007. A world first in
o f

45nm CMOS, thanks to their improved short regions for the FDSOI size and technology, it fea-
channel behaviour and low leakage currents. In devices, or the skills of tures a HfSiON/TiN gate
stack, a 10 nm Silicon thin
both cases, our strategy aimed at low power etching the very thin film and epitaxially raised
l i m i t s

consumption. We also achieved a BEOL de- fins – i.e. the vertical source and drain regions.
monstrator featuring an Ultra Low K dielectric 20nm membranes (see Next generation EUV-litho-
(with k = 2.3) as insulator between the metal in- the photograph on graphy has been used to
terconnexion layers (using a damascene struc- page 2) – in the FinFET etch open the contact holes
in the FinFET device at
ture with 32nm design rules) in order to obtain devices. IMEC.
the lowest possible delay. A.Veloso et al, IEDM08
t h e

Which process steps were the most chal- Table of contents


lenging to achieve ?
Different subprojects of Pullnano worked on Edito / Dr Dominique Thomas p2.
various technology modules, which were then Interview / Dr Gilles Thomas : “The human story behind the
P u l l i n g

integrated to demonstrate the feasibility of the


process with the respect of 32nm design rules.
technology gains momentum” p4.
An intense interaction between the subprojects Prospective / And now, a step toward tomorrow ? p5.
was mandatory, notably between those dedi- Focus / Breakthrough in strain metrology with new
cated to materials, architecture and simulation.
The most difficult task was probably to master
electron holographic technique p6.
On the system level power estimation p3.
1
Objective 2> To prepare the 22nm technology node
EDITORIAL RESULTS
Large currents through narrow fins – FinFET
devices ready for the 22nm node
The second major objective of the Pullnano project is the assessment and demonstration of
suitable 22nm approaches in terms of materials, processes, integration and simulation in both
Front-End and Back-End of the line. Given the dimension of this challenge, the effort is evenly
distributed over many sub projects involving all major Pullnano players to benefit best of this
enormous competence network. One of the most important issues within this task is the
choice and demonstration of the 22nm device architecture that will have to fulfill both de-
manding performance and manufacturability criteria. Already for the 32nm node, Pullnano
made a big and ambitious leap forward by answering to the known limitations of bulk devices
by a successful demonstration of FDSOI devices that have an intrinsically better channel
control due to the confinement of the nano-scale Si channel by the underlying Buried Oxide.
PULLNANO : Building an
efficient European R&D For the 22nm node, Pullnano suggests to go even one step further: searching for ultimate
ecosystem in Nanoelectronics. channel controllability, multi-gate device solutions are explored by several sites, such as Gate-
All-Around devices by ST, bonded Double Gate devices by the LETI and trigate FinFET de-
PULLNANO (2006-2008) benefited from the vices by NXP, IMEC and Infineon. Essential progress has been achieved within Pullnano on the
excellent collaboration initiated by the core integration of the latter, with
well-controlled fins down to 5nm
group of partners present in NANOCMOS
now readily available giving ex-
(2004-2006) to which were incorporated 17
cellent off-state control combi-
additional academic partners and later 3
ned with very competitive
SMEs. Thanks to a structured organisation
devices performances and, not
based on a combination of geographical and
to forget, ultra-low device varia-
topical cooperation sub-groups, this large
bility, which is one of the key
consortium evolved into an efficient ecosys- success criteria for 22nm node
tem contributing to create the condition for the manufacturability.
emergence of a manufacturing capability in 32 Contact : Markus Mueller, NXP
nm CMOS technology in Europe. Figure 1: Tilted top-view SEM image of fin arrays after gate pat-
Semiconductors e-mail : terning (left) and TEM cross section through a 5nm “wide” fin after
The sheer number of joint deliverables, multi- markus.mueller@nxp.com full processing featuring a TiN/HfSiO Metal Gate / High-K stack.
partner publications and conference talks,
workshop and training lectures, wafer ex-
changes, workpackage / subproject and pro-
ject meetings is tantamount. Objective 3> To boost the synergy Technology/Design to cope
These include several presentations at confe- with power and interconnect delay limitations
rences such as IEDM, and results such as the
first 32-nm SRAM on fully depleted SOI, some
world’s firsts in finFETs and also stress cha-
Thin body to reduce statistical variability
racterization techniques, to name just a few.
Statistical variability and related need to introduce novel device architectures present major
The Pullnano project has created an incredi-
challenges to scaling and integration. Strong links must be established between circuit des-
ble network of excellent teams and individuals
ign, system design and device technology to allow circuits and systems to accommodate the
capable of generating state of the art know-
uncertain transistor behaviour. In the framework of Pullnano using advanced 3D simulation
ledge and know-how; thus maintaining Eu- technology developed at Glasgow, the statistical variability of conventional bulk, FD SOI and
rope at the leading edge of a very competitive multi-gate device architectures as candidates for the 32 and 22nm technology generations
technological field. was accurately evaluated. FD SOI and multi gate transistors, which tolerate low channel do-
The Pullnano dynamics will live on in further ping, offer significantly lower statistical variability. Statistical compact models extracted from
cooperative projects including the ENIAC JTI the physical simulations provide early means for studying the impact 32 and 22nm variability
framework or Eurêka/CATRENE and extend on IP block and SoC an for development of design countermeasures.
its reach from “More MOORE” to “More Than
MOORE” and “heterointegration” technolo- Potential and electron concentration distribution in a square 22nm double gate transistor in the
gies. The Board of Pullnano would like to presence of random discrete dopants. The device offers 20 mV standard deviation of the
thank all the partners of the project, and es- threshold voltage which
pecially its coordinator, for their excellent work compares favourably with
and dedication during the course of this exci- the more than 50 mV
ting endeavour. standard deviation typical
CONGRATULATIONS ! for 45 nm bulk MOSFETs.
Dr Dominique Thomas Contact : Asen Asenov,
STMicrolectronics R&D Cooperative The University of Glasgow
Programs Director A.Asenov@elec.gla.ac.uk

2
Air-Gap using Self-Aligned-barriers is
Focus
a promising architecture for 22nm
node interconnects
On the system level power
Using in-house simulation tools, LAHC (Laboratory of Microwave estimation
and Characterization) has shown how Self-Aligned-Barriers (SAB)
improve 32 nm interconnect performance, reducing both delay Another key result related to Pullnano's objective 3 has been
and crosstalk by 10% and 7% respectively. These are improve- achieved by a ten years old independant R&D organisation es-
ments the designers are looking for. tablished in Greece. Within Pullnano, ISD ( Integrated Systems
Integrating Air-Gap using SAB is the most promising architecture Development ) has developped a novel, entry-level SoC (Sys-
for the 22 nm node. This will also be quite a challenge for the des- tem-on Chip) power estimation methodology which enables
igner with a metal pitch dependant dielectric constant. Experi- preliminary estimation of dynamic power of bit and cycle-accu-
mental characterization shows moisture uptake in low k dielectric rate transaction-level SystemC macro-models. This system-level
BD2X after integration increases its k-value by 10% generating power methodology targets power analysis and optimization
dielectric losses. Classical Cu interconnects are limited by propa- with sufficient accuracy and improved productivity with respect
gation delay of high speed (RF) signals. The IMEP laboratory in- to the traditional RTL design flow.
vestigated wireless propagation which uses electromagnetic Dynamic power estimation is based on measuring system’s swit-
waves. The synchronism of pulse fronts is assured by the trans- ching activity. It is computed by multiplying the number of bit tran-
ceivers and only jitter is to be controlled. Power consumption sitions for all input and output signals with an appropriate bit
and performance of transceivers required for wireless intercon- energy coefficient. Bit energy (or speed) coefficients adjust inter-
nects is still to be validated. nal system performance (respectively, power) metrics by consi-
Contact : Professor Anthony O'Neill, Newcastle University dering a precise technology node (e.g. 32 or 22nm) and variations
email : anthony.oneill@newcastle.ac.uk (e.g. high performance or low power). Such data can be extracted
from semiconductor technology roadmap data available from in-
ternational organizations (e.g. ITRS), simulation platforms (e.g.
Objective 4> To be a forum of European MASTAR) or test structures. A representation of this methodology
equipment suppliers is shown in Fig. 1.

EU SMEs line up to achieve the milestones


for advanced metrology equipment

In Pullnano’s Equipment Forum, equipment related small and medium


sized enterprises (SME) are connected to Europe’s flagship project on
32/22nm technology research. They developed several techniques for
advanced characterization at the 32/22nm node:
! Improved secondary ion mass spectroscopy (SIMS) with low impact
energy of <150 eV to measure accurate dopant profiles of ultra-shallow
junctions (USJ). Partners: Cameca, IMEC.
! Novel scatterometry method to characterize the porosity and plasma-
induced sidewall damage of low-k material on patterned wafers. Part-
ners: Nova, IMEC.
! Innovative wafer surface analysis based on Shack-Hartman and Ma-
In the course of Pullnano, ISD has successfully applied this novel
kyoh principles to measure wafer flatness on patterned wafers, e.g. after
system-level power estimation methodology to design space ex-
chemical-mechanical polishing (CMP). Partners: Imagine Optic, Fraun-
ploration and dynamic power management of two complex,
hofer IISB.
! Integrated metrology solution based on residual gas analysis (RGA)
state-of-the-art bit and cycle-accurate SystemC virtual platforms:
! A network bridge providing sequential access to internal me-
to control in-line the sealing process of porous low-k layers to ensure a
reliable backend of line (BEOL) integration in a high-volume environ- mory tables for inserting, searching or retrieving connection
ment. Partners: ST, Fraunhofer IISB. contexts.
! A multicast router used as a building block in a NoC (Network-
! Unique 4-Point Probe system based on a micro-fabricated 4-point
probe head to resolve micro-scale variation in sheet resistance and to on-Chip) configuration of a generic, shared memory-based mul-
perform reliable sheet resistance measurements of conducting films, ticore SoC supporting SIMD(Single Instruction,Multiple Data)-like
e.g. ultra-shallow junctions (USJ). Partners: Capres, IMEC, Fraunhofer remote memory transactions to multidimensional arrays.
IISB.The Equipment Forum, coordinated In the near future, ISD is interested to exploit automated and ef-
by Fraunhofer IISB, proved to be a flexi- ficient high-level power estimation and design space exploration
ble and powerful environment for ex- of virtual platforms specified in SystemC based on algorithmic,
change of requirements, specifications architectural, and technology features, both prior and after sys-
and know-how and for successful de- tem partitioning. This array of tools could enable efficient product
monstration of advanced metrology differentiation by providing performance and power dissipation
equipment. tradeoffs, as well as early optimization opportunities for key sys-
Contact : Martin Schellenberger e-mail : tem components.
martin.schellenberger@iisb.fraunhofer.de Contact : Constantin Papadas, ISD Email : papadas@isd.gr

3
INTERVIEW

“The human story behind


the technology gains momentum”
The FP6 Pullnano project coordinated by STMicroelectronics with a total budget of
45,15 M€ is nearing its completion. The key milestones – among which the 32nm SRAM
cell demonstrators – have been released in line and with the project timing as well as
the ITRS (International Technology Roadmap for Semiconductors). Pullnano results
pave the way for the european semiconductor manufacturers to produce complex chips
in a 32nm process by 2011 / 2012 ... and already open the door to the future 22nm Dr Gilles Thomas (STMicroelectronics)
node, says Gilles Thomas from STMicroelectronics and Pullnano coordinator. Pullnano coordinator

The Pullnano project started on June 1st don't know today if multigate is going to be the the full capability of the technology, and of the
2006 for a duration of 30 months. Did you choice of the future for everybody in the indus- design community to make cost effective des-
achieve your original objectives ? try but it looks promising. If one doesn't need igns, only then the manufacturing machine will
We have established and demonstrated the the performance, however, it's perfectly accep- start kicking in. To have an economically viable
technical feasibility of a CMOS 32nm techno- table to stay planar, thus achieving a different production in a state of the art process, given
logy. This was done with the help of European trade-off between cost and performance. the complexity and the cost of the process
fundings, and with much more partners than (with a million $ mask set), we need very stan-
the former project NANOCMOS (for the 45nm What do you foresee for the future process dardized high-volume chips, the so-called “kil-
node) but also with a broader scope of re- technology research in Europe ? ler applications”.
search themes covering all the ingredients ne- The FP6 work programme was positioned for Beyond the industrial benefit, such a project is
cessary for the technology to become reality, early research in semiconductor technology and above all the story of a cross-border human
semiconductor metrology equipments, new the following development phase was pursued collaboration working in synergy towards a
material evaluation, device simulation and mo- in the EUREKA/MEDEA+ framework. Due to the common goal. The project was a memorable
delisation, physical and electrical characteri- escalating costs of the leading edge technology human experience, a sort of multicultural, multi-
zation and process modules developments for developments, the industry has adopted a cost interest community of scientists and engineers
32nm, even extending to 22nm. All the re- sharing attitude that requires pooling together with incredible talents acting like a network of
search themes had a common purpose, i.e. in- the ressources and creating larger and larger al- excellence. An important thrust behind the suc-
tegrate the process modules to finalize a liances; these alliances become global, reaching cess of the project was the considerable ex-
technology with a good chance to become a transcontinental size. At the European level, a pertise coming from academic and research
economically viable. The Pullnano project ends new Public-Private instrument exists: a JTI (Joint institutes scattered all over Europe from Ireland
but it doesn't mean that everything stops there ; Technology Initiative) specifically targeting the to Poland and from Sweeden to Greece. This
from now on, there is still a long road to a qua- micro-nanoelectronic industry which is federa- human dimension of the project will survive,
lified technology platform then to “productiza- ted under the ENIAC (name of the European such a network of relationship has to and will
tion” and finally to the ramp up of a volume Technology Platform dedicated to Nanoelectro- continue to thrive in the future !
production. Technology is only the enabler ma- nics). It is within this new framework as well as
king later the IC product become reality. the existing EUREKA /MEDEA+ (renamed CA- Focus
TRENE) that a future industry led consortium will
Do the results position Europe competiti- continue semiconductor technology research Pullnano key numbers
vely for the future ? with a focus shifting towards “More than Moore”
> Means & Money
The Pullnano project puts Europe and the eu- applications. The FP7 repositions itself for the
45.15 M! Total cost
ropean manufacturers in a strong competitive longer term “Beyond CMOS” research which is 25.00 M! EU funding
position as long as applications and products largely taking place in an academic environment. 38 Partners
come quickly to fruition The chosen solutions However, this does not mean that FP7 can't ac- 13 Countries
commodate smaller scope projects (STREP) still +300 Researchers
like FDSOI to reduce junction leakages and
3335 Man-years
High K/metal gate to reduce gate leakage are dedicated to advancing Moore's Law (“More
key concepts to design low power consump- MOORE”). Many themes related to modelisa- > Proficiency & Visibility
tion ICs targeting battery-powered portable ap- tion, advanced characterisation techniques, new 480 Publications
170 Deliverables
plications which was our main focus in the materials, or process modules like lithography
31 Lectures/tutorials
project. The SRAM cell results from Pullnano can federate the huge existing competences, 3 Patents
are comparable to Intel's coming announce- knowledge and know-how generated over the
ment at IEDM 2008 on a cell area basis. The years in Europe. A powerful 3335 man-years project
Pullnano results demonstrate a solution to the 9%
35 % Academic
ITRS roadmap at 32nm and, already for more What is the benefit of the Pullnano project
than a year, there has been a vision for multi- for the industrial community in Europe ? Industrial

gate (at least dual gates, or trigate) devices at We have developed the enabling technologies R&D Centers
22nm. At the individual transistor level, these for the future. Now it's the turn of the electronic 23 % SME
22nm devices are electrically satisfactory. We systems industry to invent applications using 33 %

4
And now, a step toward tomorrow ? PROSPECTIVE
Beyond the benefits directly derived from the project results, the consortium partners are
having a look at various potential opportunities and at the challenging problems for future
research to build upon the Pullnano foundations. Olof Engström ( Chalmers), Gerard
Ghibaudo (Imep-Cnrs), Thomas Schulz (Infineon) explain how to focus on the promising
modelization, materials and characterization thematics.

The 16nm technological options


already under scrutinity

The subproject 6 entitled “Device Charac- tion and performance limitations.


terization and Simulation” aimed at provi- In a fourth work package, the objective
ding experimental data and was to develop advanced physical models
modelling/simulation results for suppor- addressing the needs foreseen for the
ting the development of nano CMOS de- 22nm technology node. In particular, all
vices based on conventional and novel the issues related to strained silicon, the
architectures, which have been developed adoption of different crystal orientations
during the Pullnano project. The short- for the MOSFET channel, the use of High K
term oriented activities have been gate dielectrics, the fluctuations of do- Gérard Ghibaudo
conducted in three work packages, while pants, the dielectric and silicon layer thick- Director of IMEP-LHAC (CNRS)
a fourth package already tackled the ness and the effects of line-edge
22nm node. roughness, as well as their impact on the could be continued by exploring the best-
electrical parameters of the device have suited architectures and materials for the
In the first one, conventional TCAD simu- been investigated. The developed models, 16nm and beyond CMOS technology
lations have been carried out for the de- implemented in device simulators, will nodes. More specifically, this would imply
velopment and integration of 32nm CMOS allow evaluating and comparing different for example the study of new channel se-
devices. These activities have in particu- device architectures and technological op- miconductors such as Ge, GaAs with very
lar been focused on FinFET 3D simula- tions. high mobility and more aggressive archi-
tions and on the impact of strain on In the future, these research activities on tectures like silicon nanowires offering 3D
conventional and non-conventional de- device characterization and simulation integration.
vices based on classical drift-diffusion,
Monte Carlo and Quantum Mechanical
approaches. A simulation methodology to
connect stress, band structure and trans-
port calculations has been developed for
both biaxial and uniaxial stresses. In the
second work package, the objective was
to propose new compact models for cir-
cuit simulation and device technological
specification calculations, as well as to in-
vestigate (by simulation) the impact of the
device architecture and interconnects on
the power consumption of a complex cir-
cuit. Physically based compact device
models including quantum effects, nearly
and ballistic transport in conventional and
non-conventional architectures have the-
refore been created and implemented into
ST’s MASTAR tool for the assessment of
32nm and 22nm CMOS technological
specifications. In the third work package,
extensive electrical characterization (es-
pecially interface and mobility) of the fa-
Illustration of the activities in the “device characterization and simulation” subproject in PULL-
bricated 32nm and below CMOS test NANO : The different activities extend from mobility characterization to SRAM modelisation, in-
structures have been carried out, aiming cluding work on leakages in High K dielectrics, reliability issues of MOS built with High K gate and
at better understanding the device opera- stressed channels.

5
PROSPECTIVE Olof Engström,
Chalmers University (Sweden)

The development of gate dielectrics looks Rare earth oxides offer interesting properties to
at rare earth oxides for the future fulfil such requirements. Even if their k-values
are moderate, their !E-values are high enough
Even before the debut of the Pullnano project, barrier height (offset to put them into the spotlight. The choice of
it became evident that, in order to keep the value, !E) seen by GdSiOx in Pullnano was based on this consi-
ability of MOS transistors to act as efficient an electron ready to deration, with the addition of silicon to Gd2O3
switches on the ride along the More-Moore leak between the to stabilize this oxide in its amorphous phase.
road, new dielectric materials for the gate func- gate metal and the While GdSiOx was demonstrated feasible by
tion will be necessary. The hafnium based die- transistor channel. the “High-k-Gang” (www.high-k-gang.eu) for
lectrics, which presently replace traditional At given limits of leakage current and insulator the 22nm node on SOI, a still higher k x !E –
silicon dioxide insulators, will probably find a thickness, the k and !E values are bound product needs to be developed for the nanoe-
substitute before the 22nm node is ready for roughly by a hyperbolic relation such that a lectronics landscape beyond this landmark. Al-
production. Two intrinsic material properties product, k x !E ≈ 70 eV is necessary for the 22 beit a multitude of challenging problems remain
are important for the choice of a new dielec- nm bulk LSTP node, while the corresponding in this terrain, a number of possible candidates,
tric. These are, on one side, the dielectric figure for silicon on insulator technology is in the like lanthanum based materials or even ferroe-
constant (k-value) and, on the other side, the range of 30 - 40 eV. lectrics, are still aces up our sleeves.

Advanced characterization of nanodevices :


novel approaches are needed Thomas Shulz (Infineon)

With their dedication to advanced characterization, the subproject 5 team depth profiling techniques using SIMS, AES and XPS have to be impro-
partners are the eyes of the Pullnano community, aiming to further shar- ved, e.g. by enhancing sensitivity or by model-based interpretation of the
pen the sight of our colleagues in the project and make the nanodevices depth profiles. The use of much brighter X-ray sources (Synchrotron ra-
and their properties more visible. Various tools and techniques are used diation) is likewise a must for a better analysis within the nanoscale de-
to characterise and simulate the whole list of physical parameters des- vice structures themselves or of very thin films. Also, as outlined by the
cribing in detail the key features of the investigated devices and materials. updated ITRS roadmap, models for mechanical stress engineering have
The scaling down of feature sizes in modern CMOS processes imposes been identified as an
very rigorous requirements for characterization methods with respect to important and diffi-
Characterization of
accuracy and reproducibility. To cope with the challenges of the 32nm and cult challenge for ul- high-k materials :
22nm nodes, the currently established measurement techniques have to timate nanoscale with the first ever
synchroton-radiation
be further improved or substituted by novel innovative approaches. One simulation capabi- XPS (X-Ray Photo-
electron Spectro-
example from the Pullnano project is the holodark technique used for the lity. Today's com- scopy), the
elemental composi-
strain measurement in the devices (see Focus). This technique is expec- mercial process tion of high-k layer
ted to potentially replace or complement the older Raman techniques simulators are far interfaces have been
investigated without
which have for instance a much more limited resolution. from providing ac- sample destruction.
For future needs, the characterization of the material composition and curate and physical
density at the interfaces high-k dielectric/metal and high-k dielectric/Si, strain fields !

FOCUS Distribution of strain


across three p-MOSFET
devices measured by
Breakthrough in strain dark-field electron holo-
graphy. The Si1-xGex
metrology with new source and drain regions
apply compressive strain
electron holographic (in blue) to the silicon
channel.
technique
Strained-silicon channels is now a mainstream technique in state- The technique, which is based on electron holography, is innova-
of-the-art CMOS technologies and will continue to be for the tive in a number of ways. Strain can be mapped across devices
32nm to 22nm technology generations to come. The reason for with high precision whilst maintaining nanometre spatial resolution
the success is that strain-induced deformation of the crystal lat- (4nm) (see Figure). Precision in strain values exceeds 0.1%. More
tice in active regions of nanoelectronic devices improves CMOS traditional techniques have been limited to carrying out measure-
logic performances. Electron (or hole) mobility is significantly in- ments at isolated data points or for small regions at a time. Com-
creased, making it possible to boost circuit speed. Without accu- plex strain distributions can therefore now be studied to improve
rate methods of measuring strain at the nanoscale, however, modelling of devices and optimise strain engineering. The work
manufacturers cannot have complete mastery of device design or was published in the June 19th, 2008 issue of the journal Nature
of device reproducibility. This problem has now been solved, and has led to two patents. M.J. Hÿtch, F. Houdellier, F. Hüe, and E.
thanks to a new strain measurement method invented by the Snoeck, Nature 453 (2008) 1086-1089.
CNRS team in Toulouse working within the Pullnano project. Nanoscale holographic interferometry for
strain measurements in electronic
Director of Publication : Gilles Thomas (STMicroelectronics) PULLnano is a project supportyed by the Euro- devices. doi :10.1038/nature07049.
Managing Editor : Chantal Cochini email : nano32@lops.fr pean Commission from the IST Programme Contact Martin Hycht
Contributing Editor : Elisabeth Feder within the European Union 's Sixth RTD email : hytch@cemes.fr
For more information on Pullnano: WWW.pullnano.eu Framework programme.

Potrebbero piacerti anche