Sei sulla pagina 1di 41

PRACTICAS DE SISTEMAS DIGITALES I Con la finalidad de elevar la eficiencia en el proceso de enseanza aprendizaje y sobre todo de mejorar en los alumnos

el conocimiento practico de los Circuitos Integrados Digitales y de ayudar a los maestros del rea de digitales tanto de ingeniera Elctrica y de ingeniera Electrnica, en su trabajo, se presenta un MANUAL DE PRACTICAS relacionadas con la asignatura de de SISTEMAS DIGITALES 1, Estas practicas propuestas son las siguientes: PRACTICA # 1 USO DEL PROTOBOARD Y FUNCIONAMIENTO DE LAS COMPUERTAS LOGICAS AND Y OR PRACTICA # 2 FUNCIONAMIENTO DE LAS COMPUERTAS LOGICAS NOT O INVERSORA, NAND, NOR, OR EXCLUSIVA. PRACTICA # 3 SIMPLIFICACIN Y REPRESENTACIN DE FUNCIONES PRACTICA # 4 NIVELES DE VOLTAJE EN LAS COMPUERTAS TTL PRACTICA # 5 REPRESENTACIN DE FUNCIONES PRACTICA # 6 CIRCUITOS ARITMETICOS BASICOS PRACTICA # 7 SUMADOR BINARIO Y SUMADOR/RESTADOR DE 4 BITS PRACTICA # 8 SUMADOR BCD DE 4 BITS PRACTICA # 9 SUMADOR BCD 8 BITS PRACTICA # 10 COMPARADOR DE MAGNITUD DE 2 NUMERO DE 4 BITS. PRACTICA # 11 DECODIFICADOR DE 3 A 8, DISEADO EN BASE A COMPUERTAS LOGICAS. PRACTICA # 12 FLIP-FLOPS BASADOS EN COMPUERTAS PRACTICA # 13 FLIP-FLOPS Y LATCHS Y REGISTROS BASADOS EN CIRCUITOS INTEGRADOS. PRACTICA # 14 CONTADORES BINARIOS Y BCD

INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES 1, PRACTICA # 1 USO DEL PROTOBOARD Y FUNCIONAMIENTO DE LAS COMPUERTAS LOGICAS AND Y OR. OBJETIVO: El maestro mostrara al alumno la forma de uso del protoboard, la forma de conectar los Circuitos Integrados de la serie 74xx para Comprobar el funcionamiento de las compuertas TTL AND, C. I. 7408 y la compuerta OR , C. I. 7432 en base a las tablas de verdad y el uso del Manual TTL del Fabricante. MATERIAL Y EQUIPO UTILIZADO. 1 protoboar. 2 Circuitos Integrados 7408 Y 7432. 1 Multimetro Digital. 1 Manual TTL 1 Fuente de 5 Volts de C. C. 1 Juego de cables de conexin 2 Resistencias de 100 Ohms 2 LEDS. TEORIA BASICA. Introduccin a las compuertas lgicas. Las compuertas lgicas son circuitos que tienen dos o ms entradas lgicas y una salida. Los diodos y transistores son dispositivos semiconductores que son usados en circuitos de conmutacin porque pueden dirigirse para operar en conduccin on o no conduccin off. Las tecnologas ms comunes de compuertas lgicas son: 1.- Lgica Resistencia Transistor, RLT. 2.- Lgica Diodo- Transistor, DTL. 3.- Lgica Emisor Acoplador, ECL. 4.- Lgica Transistor Transistor TTL 5.- Semiconductor Complementario de Oxido de Metal, CMOS. Diagrama de Terminales de los Circuitos Integrados a utilizar.

Vcc 5V 14 13 12 11 10 9 8

Vcc 5V

14 13

12

11 10 9

C. I. 7408
1 2 3 4 5 6 7 GND 1

C. I. 7432
2 3 4 5 6 7

Ent. Ent. Sal.

Sal. Ent Ent

GND

DESARROLLO. 1.- El maestro explicara la forma de utilizar el protoboard mediante la colocacin de algunos componentes, y la forma de conectarlos a la fuente de alimentacin. Explicara el uso correcto del manual TTL y obtener la tabla funcional de los Circuitos Integrados a utilizar. Tabla de verdad de la compuerta AND. EA EB SY 0 0 0 0 1 0 1 0 0 1 1 1 Tabla de verdad de la compuerta OR. EA EB SY 0 0 0 0 1 1 1 0 1 1 1 1 2.- Compruebe la tabla funcional de la compuerta AND Utilizando el Circuito Integrado 7408. Aplique los niveles de voltaje correspondiente a las terminales A y B de acuerdo a la tabla de verdad de la compuerta AND. 3.- Verifique mediante el multimetro en cada caso el valor real de voltaje tanto para los niveles bajos como para los niveles altos de salida, antelos y explique en cada caso si son valores de voltaje validos y porque. 4.- Conecte a la salida de la compuerta una resistencia y un LED a tierra y Aplique los niveles de voltaje correspondiente a las terminales A y B de acuerdo a la tabla de verdad de la compuerta AND y observe la salida en cada caso si corresponde al comportamiento lgico de la tabla de verdad. 5.- Compruebe la tabla funcional de la compuerta OR Utilizando el Circuito Integrado 7432. Aplique los niveles de voltaje correspondiente a los valores lgicos de acuerdo a la tabla de verdad de la compuerta OR. 6.- Verifique en cada caso el valor real de voltaje tanto para los niveles bajos como para los niveles altos de salida, antelos y explique en cada caso si son valores de voltaje validos y porque. 7.- Conecte a la salida de la compuerta una resistencia y un LED a tierra y Aplique los niveles de voltaje correspondiente a las terminales A y B de acuerdo a la tabla de verdad de la compuerta OR y observe la salida en cada caso si corresponde al comportamiento lgico de la tabla de verdad. Observaciones y conclusiones sobre el desarrollo y tema de la practica.

INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES 1, PRACTICA # 2 FUNCIONAMIENTO DE LAS COMPUERTAS LOGICAS NOT O INVERSORA, NAND, NOR, OR EXCLUSIVA. OBJETIVO: El alumno Comprobara el funcionamiento de las compuertas TTL; INVERSORA C. I. 7404 NAND, C. I. 7400, NOR , C. I. 7402 y OR EXCLISIVA 7486 en base a las tablas de verdad o tablas de entrada, salida. MATERIAL Y EQUIPO UTILIZADO. 1 PROTOBOAR 4 CIRCUITOS INTEGRADOS 7404, 7400, 7432 Y 7486. 1 MULTIMETRO DIGITAL. 1 MANUAL TTL 1 FUENTE DE 5 VOLTS DE C.C. 1 JUEGO DE CABLES PARA CONEXIN CABLE TELEFONICO 1 RESISTENCIAS DE 100 OHMS 1 LEDS. TEORIA BASICA. Introduccin a la Tecnologa de Fabricacin de Circuitos Integrados TTL Existen muchas versiones sobre las compuertas bsicas TTL. La tabla 1.1, muestra Las caractersticas de cinco versiones TTL, Tales como su retardo de propagacin y su disipacin de potencia por compuerta. El producto de retardo de propagacin con la disipacin de potencia nos proporciona el parmetro producto velocidad-potencia el cual es deseable que sea de valor bajo.
Retardo de Disipacin de Producto Vel-Pot Propagacin (ns) Potencia (mw) (pj ) -------------------------------------------------------------------------------------------------------------------------Normalizada Baja potencia Alta Velocidad Tec. Schottky Schottky baja potencia TTL LTTL HTTL STTL LSTTL 9 33 6 3 9 10 1 22 20 2 90 33 132 60 18 Nombre

Tabla 1.1. Tecnologas TTL.

Diagrama de Terminales de los Circuitos Integrados a utilizar.

Vcc 5V 14 13 12 11 10 9 8

Vcc 5V

14 13

12

11 10 9

C. I. 7404
1 2 3 4 5 6 7 GND 1

C. I. 7400
2 3 4 5 6 7 GND

Ent.. Sal.

Ent Ent Sal.

Vcc 5V 14 13 12 11 10 9 8

Vcc 5V

14 13

12

11 10 9

C. I. 7402
1 2 3 4 5 6 7 GND 1

C. .I 7486
2 3 4 5 6 7 GND

Ent. Ent. Sal.

Ent Ent Sal.

DESARROLLO. 1.- Consulte el manual TTL y obtenga la tabla funcional de los Circuitos Integrados a utilizados. Tabla de verdad de la compuerta NAND. EA EB SY 0 0 1 0 1 1 1 0 1 1 1 0 Tabla de verdad de la compuerta INVERSORA O NOT EA SA 0 1 1 0

Tabla de verdad de la compuerta NOR. EA EB SY 0 0 1 0 1 0 1 0 0 1 1 0 Tabla de verdad de la compuerta OR EXCLUSIVO. EA EB SY 0 0 0 0 1 1 1 0 1 1 1 0 2.- Compruebe la tabla funcional de la compuerta NAND Utilizando el Circuito Integrado 7400. Conecte a la salida de la compuerta una resistencia y un LED a tierra y Aplique los niveles de voltaje correspondiente a las terminales A y B de acuerdo a la tabla de verdad de la compuerta NAND y observe la salida en cada caso si corresponde al comportamiento lgico de la tabla de verdad. Verifique mediante el multimetro el valor de voltaje de salida para cada combinacin de los valores de entrada tabule y reporte. 3.- Compruebe la tabla funcional de la compuerta NOT o INVERSORA Utilizando el Circuito Integrado 7404. Conecte a la salida de la compuerta una resistencia y un LED a tierra y Aplique los niveles de voltaje correspondiente a las terminales A y B de acuerdo a la tabla de verdad de la compuerta NOT y observe la salida en cada caso si corresponde al comportamiento lgico de la tabla de verdad. Verifique mediante el multimetro el valor de voltaje de salida para cada combinacin de los valores de entrada tabule y reporte. 3.- Compruebe la tabla funcional de la compuerta NOR Utilizando el Circuito Integrado 7402. Conecte a la salida de la compuerta una resistencia y un LED a tierra y Aplique los niveles de voltaje correspondiente a las terminales A y B de acuerdo a la tabla de verdad de la compuerta NOR y observe la salida en cada caso si corresponde al comportamiento lgico de la tabla de verdad. Verifique mediante el multimetro el valor de voltaje de salida para cada combinacin de los valores de entrada tabule y reporte. 3.- Compruebe la tabla funcional de la compuerta OR EXCLUCIVA Utilizando el Circuito Integrado 7404. Conecte a la salida de la compuerta una resistencia y un LED a tierra y Aplique los niveles de voltaje correspondiente a las terminales A y B de acuerdo a la tabla de verdad de la compuerta OR EXCLUCIVA y observe la salida en cada caso si corresponde al comportamiento lgico de la tabla de verdad. Verifique mediante el multimetro el valor de voltaje de salida para cada combinacin de los valores de entrada tabule y reporte. Observaciones o conclusiones sobre el desarrollo y tema de la practica.

INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES I PRACTICA # 3 SIMPLIFICACIN Y REPRESENTACIN DE FUNCIONES OBJETIVO.- Representar circuitos Lgicos basados en los Teoremas bolanos y funciones utilizando las compuertas Lgicas. MATERIAL Y EQUIPO UTILIZADO 1.- Protoboard 1 C.I. 7400 1 C.I 7404 1 C.I. 7408 1 C.I 7432 1 C.I 7486 1 Fuente de voltaje de 5V de cc. 1. Multimetro digital TEORA BASICA. Teoremas Booleanos 1,2,3,4,5,6,7,8,9,10,11,12,13a,13b,14,15 y de DeMorgan La tabla 1 presenta una lista de las identidades mas bsicas del algebra booleana. La notacin se simplifica omitiendo el smbolo para la operacin AND siempre que esto no cause confusin. Las nueve primeras identidades muestran la relacin de una variable X, su complemento X y las constantes binarias 0 y 1. Las cinco identidades siguientes, de la 10 a la 14, son similares al algebra ordinaria. Las tres ultimas, de la 15 a la 17, no se aplican en el algebra ordinaria pero son muy tiles en la manipulacin de expresiones booleanas. Tabla 1

DESARROLLO. 1.- Obtener de los manuales ECG O TTL los diferentes diagramas de los Circuitos integrados a utilizar.

2.- Comprobar los teoremas booleanos 1 ,2, 3, 4, 5, 6, 7, 8 mediante compuertas y valores lgicos. 3.- Comprobar los teoremas 9 y 10, 11 y 12, 13a y 13b. mediante circuitos lgicos basados en compuerta (Disear los circuitos). 4.- Disee el circuito lgico de las funciones booleanas siguientes y compruebe prcticamente F = A + AB+AC + AB 5.- Disee el circuito lgico de las funciones booleanas siguientes y compruebe prcticamente F = [(C + D) + B] (A)

REPORTAR: El formato de la practica incluyendo todos los puntos que la componen, Reportar los teoremas booleanos y de DeMorgan, los circuitos lgicos diseados tanto para la comprobacin de los teoremas como para las funciones del los puntos 4 y 5.

OBSERVACIONES Y/O CONCLUCIONES.

INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES I PRACTICA # 4 NIVELES DE VOLTAJE EN LAS COMPUERTAS TTL OBJETIVO. MATERIAL Y EQUIPO UTILIZADO 1.- Protoboard 1 C.I. 7400 1 C.I 7404 1 C.I. 7408 1 C.I 7432 1 Fuente de voltaje de 5V de cc. 1. Multimetro digital TEORA BASICA. Niveles de Voltaje. Los voltajes correspondientes a los niveles lgicos del estandar TTL, son mostrados en la TABLA 1, Donde VoL es el mximo voltaje de salida garantizado en el estado bajo; VoH es el voltaje mnimo de salida garantizado en el estado alto; ViH es el voltaje mnimo de entrada necesario para el estado alto; ViL es el voltaje mximo necesario para el estado bajo. Existe un parmetro que determina la inmunidad al ruido de las compuertas TTL, este es el denominado Margen de Ruido. En el estado alto el Margen de ruido es designado por VoH ViH y es para TTL estndar o normalizado igual a 0.4V. En el estado bajo esta Margen de ruido es designado por VoL - ViL y es par TTL igual a 0.4V. TABLA I Niveles de Voltaje. Familia TTL LTTL HTTL STTL ViL 0.8 0.7 0.8 0.8 VoL 0.4 0.4 0.4 0.5 ViH 2.0 2.0 2.0 2.0 VoH 2.4 2.4 2.4 2.7 IiL -1.6 -0.18 -2.0 -2.0 IoL 16.0 3.6 20.0 20.0 IiH 40 10 50 50 IoH -400 -200 -500 -1000 LSTTL 0.8 0.5 2.0 2.7 -0.36 8.0 20 -400

IiL - Corriente mxima de entrada a nivel bajo IiH - Corriente mnima de entrada a nivel Alto IoL - Corriente mxima de salida a nivel bajo IoH- Corriente mnima de salida a nivel Alto

DESARROLLO. 1.- Consulte el manual TTL y obtenga la tabla funcional de los Circuitos Integrados a utilizados y obtenga de los manuales ECG O TTL los diferentes diagramas de los Circuitos integrados a utilizar. 2.- Comprobar los niveles lgicos de la compuerta TTL normalizada en base a la tabla siguiente. Min max tipico ViL .--0.8 V --ViH .2V ----VoL .--0.4V 0.1V VoH .2.4V --3.6 Para cada uno de los experimentos siguientes implementar el circuito indicado Utilice la misma compuerta del circuito integrado TTL 7400. Circuito 1

Reporte los circuitos y resultados de las mediciones realizadas.

Circuito 2

Reporte los circuitos y resultados de las mediciones realizadas. Circuito 3

Reporte los circuitos y resultados de las mediciones realizadas Realice el reporte de acuerdo a la gua de practica.

Circuito 4 Para esta parte mida la corriente I out que no exeda los 120 mA por ms de un minuto ya que e lo contrario la compuerta se daara. Reporte los circuitos y resultados de las mediciones realizadas.

Circuito 5 y 6. Mida las corriente en cada una de las figuras segn el caso y Reporte los circuitos y resultados de las mediciones realizadas.

Circuito 6

Reporte los circuitos y resultados de las mediciones realizadas. Observaciones y conclusiones

INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES 1 PRACTICA # 5 REPRESENTACIN DE FUNCIONES OBJETIVO.- El alumno deber desarrollar sus habilidades sobre simplificacin de funciones por mapas de Carnaugh e implementar circuitos lgicos representativos de las funciones. MATERIAL Y EQUIPO. 1 3 6 4 4 4 1 1 C.I. 7486 C.I. 7411 Y 2 C.I. 7408 C.I 7408 C.I 7404 Resistencias de 220 330 LEDs (de color diferente, si es posible) multimetro. protoboard Introduccin a los miniterminos

TEORA.

Una funcin booleana se puede escribir en diversas formas cuando se expresa en forma algebraica. Sin embargo, hay pocas expresiones algebraicas que se consideran en forma estndar. Las formas estndar facilitan los procedimientos de simplificacin de expresiones booleanas y con frecuencia producen circuitos de compuertas ms deseables. Las formas estndar contienen trminos que se conocen como trminos de productos y trminos de sumas. Un ejemplo de trmino de producto es XYZ. Este es un producto lgico que consta de una operacin AND entre varias variables. Un ejemplo de un termino de suma es X + Y + Z. Esta es una suma lgica que consta de una operacin OR entre varias variables. Debe entenderse que los trminos producto y suma no implican operaciones aritmticas cuando se maneja el algebra booleana. En su lugar, especifica operaciones lgicas equivalentes a las operaciones booleanas de AND y OR, respectivamente. Se ha probado que una tabla de verdad define una funcin booleana. Una expresin algebraica que representa la funcin se determina a partir de la tabla obteniendo la suma lgica de todos los trminos de productos para los cuales la funcin asume el valor binario de 1. Un trmino de producto en el cual todas las variables figuran exactamente una vez complementadas o no complementadas recibe el nombre de minitrmino (o trmino mnimo). Su propiedad caracterstica es que presenta exactamente una combinacin de las variables binarias en una tabla de verdad.

Existen minitrminos distintos para n variables. Por ejemplo los cuatro minitrminos de las variables X y Y son, XY, XY, XYy XY. Cada minitrmino se obtiene a partir del trmino de producto de dos variables, donde cada una de ellas se complementa si el bit correspondiente del nmero binario es 0 y no se complemeta si es 1. La forma de suma de minitrminos es una expresin algebraica estndar que se obtiene directamente a partir de una tabla de verdad, por definicin, cada trmino mnimo debe incluir todas las variables de la funcin complementada. Cuando se obtiene la suma de minitrminos de la tabla de verdad, el paso siguiente es intentar simplificar la expresin para ver si es posible reducir el nmero de trminos de productos y el nmero de literales en los trminos. El resultado es una expresin simplificada en suma de productos. La suma de productos es una expresin estndar alternativa que contiene trminos de productos con una, dos o cualquier numero de literales.

DESARROLLO. 1.- Obtener de los manuales ECG O TTL los diferentes diagramas de los Circuitos integrados a utilizar. 2.- Desarrolle el diseo de un convertidor de cdigo de binario a Gray e implemente el circuito lgico resultante y pruebe con todas las combinaciones posibles de entrada, tomar como referencia de diseo los cdigos digitales respectivos. 3.- Desarrolle el diseo de un convertidor de cdigo BCD a Exceso 3 e implemente el circuito lgico resultante y pruebe con todas las combinaciones posibles de entrada. tomar como referencia de diseo los cdigos digitales respectivos. REPORTE: en base al formato de practica los diferentes procedimientos de diseo para ambos convertidores incluyendo tablas y circuitos lgicos. Observaciones y/o conclusiones.

INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES 1 PRACTICA # 6 CIRCUITOS ARITMETICOS BASICOS OBJETIVO.- El alumno diseara un sumador total, un restador y un comparador de dos bits, probara el funcionamiento de los circuitos diseados en base a compuertas TTL. MATERIAL UTILIZADO. 1 Multimtro digital. 1 fuente de 5 volts de dc. 1 C.I. 7486 1 C.I. 7408, 1 C.I. 7432 1 C.I 7404 1 Juego de cables de conexin. 1 protoboard. TEORIA BASICA.- Procedimiento de diseo del sumador total y del restador de 2 bits Sumador total. Un sumador completo es un circuito combinatorio que forma la suma aritmtica de tres bits de entrada. Consta de tres entradas y dos salidas. Dos de las variables de entrada, denotadas por, A y B, representan los dos bit significativos que se sumaran. La tercera entrada Cin, representa el acarreo de la posicin significativa inferior anterior. Se necesitan dos salidas puesto que la suma aritmtica de tres bits cambia de valor de cero a tres, y el dos y el tres binario necesitan dos dgitos. De nuevo, las dos salidas estn designadas por los smbolos S de suma y C de acarreo; la variable binaria S del valor del bit menos significativo de la suma, y la variable binaria Cout, el acarreo de salida. La tabla de verdad del sumador completo se muestra en la tabla 3.5. Los valores de las salidas se determinan a partir de la suma aritmtica de los tres bits de entrada. Cuando todos los bits de entrada son 0 las salidas valen 0. La salida S es igual a 1 solo cuando una entrada es igual a 1 o cuando las tres entradas son iguales a 1. la salida Cout tiene un acarreo de 1 si dos o tres entradas son iguales a 1. Resta binaria. La tcnica de la resta en binario es, nuevamente, igual que la misma operacin en el sistema decimal. Pero conviene repasar la operacin de restar en decimal para comprender la operacin binaria, que es ms sencilla. Las reglas para la resta o sustraccin binaria de dos bits son similares a las de la suma. En un problema desustracin, recordando a la anotacin matemtica, el nmero de arriba se denomina minuendo y el de abajo sustraendo, el resultado de la operacin ser la diferencia. En la diferencia, cada bit del sustraendo se resta de su correspondiente bit del minuendo para formar el bit de la diferencia. El prstamo ocurre cuando el bit del minuendo es menor al bit del sustraendo, de tal forma que se presta un 1 de la siguiente posicin significativa. La resta se implementa mediante un sumador.

El mtodo consiste en llevar al minuendo a una de las entradas y el sustraendo en complemento 2 a la otra entrada. Comparador de 2 bits. Un circuito comparador combinatorio compara dos entradas binarias (A y B de n bits) para indicar la relacin de igualdad o desigualdad entre ellas por medio de tres banderas lgicas que corresponden a las relaciones A igual B, A mayor que B y A menor que B. Cada una de estas banderas se activara solo cuando la relacin a la que corresponde sea verdadera, es decir, su salida ser 1 y las otras dos producirn una salida igual a cero. Para este caso solo se requiere crear la tabla de verdad correspondiente y luego determinar las funciones booleanas que producen las salidas requeridas. DESARROLLO: 1.- Obtener de los manuales ECG O TTL los diferentes diagramas de los Circuitos integrados a utilizar. Cin 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 A 0 1 0 1 0 1 0 1 S 0 1 1 0 1 0 0 1 Co 0 0 0 1 0 1 1 1 2.- En base a la tabla de entradas y salidas disee un sumador total

2.- En base al circuitos sumador total diseado, arme y pruebe la funcionalidad del Circuito sumador total.

3.- Siguiendo como base el procedimiento de simplificacin y del diseo para el sumador total, disee un circuitos para realizar la resta de dos bits e implemente y pruebe la funcionalidad del circuito 4.- Disee un circuito que compare dos bits, pruebe este circuito mediante seales lgicas de entrada.

REPORTAR.- Circuitos y tablas utilizadas de acuerdo al procedimiento de la practica Y dems puntos den base a la gua de la practica.

Observaciones y conclusiones de la practica.

INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRICA Y ELECTRONICO SISTEMAS DIGITALES 1 PRACTICA # 7 SUMADOR BINARIO Y SUMADOR/RESTADOR DE 4 BITS OBJETIVO.- El alumno implementara y analizara un circuito aritmtico basado en un sumador/restador de 4 bits utilizando el circuito integrado 7483 sumador de 2 nmeros binarios de 4 bits. MATERIAL Y EQUIPO. 1.- C. I. 7483 1.- C. I. 7486 5 LEDs y 5 Resistencia de 100 ohms 1 Multimetro digital 1 fuente de alimentacin de 5 Volts 1 protoboard 1 Juego de Cables de conexin TEORIA BASICA. La Adicin. Las computadoras y calculadoras realizan la operacin de adicin con dos nmeros binarios a la vez, donde cada nmero binario puede tener varias cifras decimales. El cosumando se almacena en un registro A (acumulador), el acumulador contiene almacenando los valores 0101 por ejemplo. En forma anloga, el sumando, nmero que se sumar al cosumando, se almacena en el registro B (en este caso, con el valor 0111). El proceso de adicin se inicia sumando los bits menos significativos (LSB) del cosumando y del sumando. As, 1 + 1 = 10, lo cual significa que la suma para esa posicin es 0 con un corrimiento (acarreo) de 1. Este corrimiento tiene que sumarse a la siguiente posicin junto con el cosumando y el sumando en esa posicin. De este modo, en la segunda posicin, 1 + 0 + 1 = 10, que es una vez ms una suma de 0 y un corrimiento de 1. Este corrimiento se suma a la siguiente posicin junto con los bits del cosumando y del sumando en esa posicin y as sucesivamente para las restantes posiciones.

DESARROLLO. 1.- consultar los manuales TTL para obtener y reportar los diagramas de terminales de los circuitos integrados utilizados. 2.- Conectar el circuito integrado 7483 y realizar una operacin de suma binara de 2 nmeros de 4 bits detectando el resultado visualmente mediante los LED conectados a sus salidas, sumar los siguientes datos binarios en las entrada A y B; S = A+B; 4+5, 7+6, 8+9.

Figura 1.- Sumador binario de 2 nmeros 4 bits 3.- Conectar el circuito integrado 7483 y realizar una operacin de suma binara de 2 nmeros de 4 bits detectando el resultado visualmente mediante los LED conectados a sus salidas , sumar los siguientes datos binarios en las entrada A y B; S = A+B+Cin; 4+5+1, 7+6+1, 4 .- Armar el circuito sumador/restador para realizar operaciones de suma y resta, una vez complementada una de las entradas binarias, realizar las operaciones de suma binaria de 4+7, y 4 + 7 con la Terminal de control en cero 0, operaciones de resta mediante los datos binarios de 8-5, 12-7, 14-8, es decir S = A + (B+1).

Figura 2.- Circuito sumador/ restados de 4 bits. REPORTAR: Los diagramas de los circuitos utilizados, los 2 circuitos realizados en la practica, Las operaciones realizadas y en general el formato de la gua de la practica. Observaciones y conclusiones.

INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES 1 PRACTICA # 8 SUMADOR BCD DE 4 BITS OBJETIVO.- Disear un sumador en BCD (Decimal Codificado en Binario) de cuatro bits y carry de entrada, cuyos resultados de las operaciones se muestran en un display y un LED para indicar el carry de salida. MATERIAL Y EQUIPO. 2.- C. I. 7483, 1.- C. I. 7432, 1.- C. I. 7408, 1 DISPLAY nodo comn 2 Resistencias de 100 ohms 1 Protoboard y 1 Multimetro Digital 1 Juego de cables para conexin 1 fuente de 5 Volts de c.c. TEORIA BASICA.- Proceso de adicin BCD 1. Sumar los grupos de cdigo BCD para cada posicin de dgito decimal; utilizar la adicin binaria ordinaria. 2. Para aquellas posiciones donde la suma es 9 o menor, la suma se encuentra en forma BCD adecuada y no se necesita hacer correccin. 3. Cuando la suma de dos cifras es mayor que 9, debe agregrsele una correccin 1.- C. I. 7447

de 0110 para producir el resultado BCD indicado. Esto producir un acarreo que se sumar a la siguiente posicin decimal. Un circuito sumador BCD debe poder operar de acuerdo con los pasos citados antes. En otras palabras, el circuito debe poder realizar lo siguiente: 1. Sumar dos grupos de cdigo BCD de 4 bits, utilizando la adicin binaria directa. 2. Determinar si la suma de esta adicin es mayor que 1001 (9 decimal); si lo es, sumar 0110 (6) a esta suma y generar un corrimiento a la siguiente posicin decimal. El primer requisito se cumple fcilmente utilizando un sumador paralelo binario de 4 bits como el IC 7483. Por ejemplo, si los dos grupos de cdigo BCD representados por A3 A2 A1 A0 y B3 B2 B1 B0, respectivamente, se aplican a un sumador paralelo de 4 bits, el sumador realizar la siguiente operacin: A3 A2 A1 A0 + B3 B2 B1 B0 _____________ S4 S3 S2 S1 S0 - - - - - grupo de cdigo BCD - - - - - grupo de cdigo BCD - - - - - suma binaria directa

S4 es en realidad C4, el corrimiento que sale del MSB. Las salidas de la suma S4 S3 S2 S1 S0 pueden variar de 00000 a 10010 (cuando ambos grupos de cdigo BCD sean 1001 = 9). Los circuitos para un sumador BCD deben incluir la lgica que se necesita para detectar siempre que las salidas S4 S3 S2 S1 S0 sean mayores que 01001, de manera que se pueda agregar la correccin. DESARROLLO. 1.- Consultar el Manual TTL para obtener el diagrama de terminales de los circuitos integrados utilizados y reportarlos. 2.- Arme el circuito sumador BCD de 4 bits y conecte en las terminales de salida el decodificador BCD a 7 segmentos del C. I. 7447 Y el Display nodo comn y el LED En la Terminal de carry de salida y pruebe en base a las operaciones de sumas con nmeros en BCD ejemplos; 3+5, 2+6, 4+6, 7+5, 4+8, 8+7, 9+9 CIRCUITO DEL SUMADOR BCD.

S4S3 S2 S1 Figura 1. sumador BCD de 4 bits Reporte. Diagramas de terminales las operaciones en BCD realizadas y el circuito del Sumador BCD. En base al formato de la gua de practica. Observaciones y/o conclusiones.

INSTITUTO TECNOLOGICO DE DURANGO. DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAD DGITALES 1 PRACTICA # 9 SUMADOR BCD 8 BITS OBJETIVO. El alumno realizara diferentes operaciones en BCD utilizando datos a 8 bits y comprobara los conocimientos tericos, utilizando el C. I. 7483 (Sumador binario de 4 bits), para disear, armar el circuito y probar su funcionamiento. MATERIAL Y EQUIPO. 4 C. I. 7483 1 C. I. 7408 y 1 C. I 7432 1 Multimetro. y 1 Protoboard.

1 9 1

juego de puntas. LEDs y 9 resistencias de 120 ohms. Fuente de 5 Volts de C. C. Procedimiento para sumas BCD de 4 bits.

TEORIA BASICA.

Un circuito sumador BCD debe poder operar de acuerdo con los pasos siguientes: 1. Sumar dos grupos de cdigo BCD de 4 bits, utilizando la adicin binaria directa. 2. Determinar si la suma de esta adicin es mayor que 1001 (9 decimal); si lo es, sumar 0110 (6) a esta suma y generar un corrimiento a la siguiente posicin decimal. El primer requisito se cumple fcilmente utilizando un sumador paralelo binario de 4 bits como el IC 7483. Por ejemplo, si los dos grupos de cdigo BCD representados por A3 A2 A1 A0 y B3 B2 B1 B0, respectivamente, se aplican a un sumador paralelo de 4 bits, el sumador realizar la siguiente operacin: A3 A2 A1 A0 + B3 B2 B1 B0 _____________ S4 S3 S2 S1 S0 - - - - - grupo de cdigo BCD - - - - - grupo de cdigo BCD - - - - - suma binaria directa

S4 es en realidad C4, el corrimiento que sale del MSB. Las salidas de la suma S4 S3 S2 S1 S0 pueden variar de 00000 a 10010 (cuando ambos grupos de cdigo BCD sean 1001 = 9). Los circuitos para un sumador BCD deben incluir la lgica que se necesita para detectar siempre que las salidas S4 S3 S2 S1 S0 sean mayores que 01001, de manera que se pueda agregar la correccin. Estos casos donde la suma es mayor que 01001 se en listan a continuacin: Definamos a X como una salida lgica que pasar a ALTO (HIGH) slo cuando la suma sea mayor que 01001 (es decir, en los casos que se enlistaron antes). Si examinamos estos casos, se puede razonar que X ser ALTA en cualquiera de las condiciones siguientes:

Tabla 1

1. Siempre que S4 = 1 (sumas mayores que 15). 2. Siempre que S3 = 1 Y S2 o bien S1, o ambos, sean 1 (sumas 10-15). Esto se puede expresar como X = S4 + S3(S2 + S1) Siempre que X = 1, se necesita sumar la correccin 0110 a los bits de la suma y generar un corrimiento. X es el corrimiento que se produce cuando la suma es mayor que 01001. Desde luego, cuando X = 0, no hay corrimiento y tampoco adicin de 0110.

DESARROLLO. 1.- Consultar el Manual TTL para obtener el diagrama de terminales de los circuitos integrados utilizados y reportarlos. 2.- Utilic 4 sumadores binarios de cuatro bits, para implementar un circuito sumador BCD y visualice el resultado (suma) en 9 LED que deber conectar a la salida (en las terminales de suma) incluyendo la Terminal de carry final de la segunda etapa BCD. 3.- Realice las siguientes operaciones con datos en cdigo BCD a 8 bits, a partir de las siguientes operaciones decimales 23 + 54, 34 + 65, 47 + 38, 49 + 48, 53 + 72, 84 + 95, 88 + 97, 99 + 99. Reporte las operaciones en cdigo BCD

CIRCUITO SUMADOR BCD DE 8 BITS.

Figura 1.- Sumador BCD de 2 nmeros de 8 bits

RESULTADOS .

Adems del formato reportar los circuitos implementados y los nmeros en cdigo BCD con que realizaron la practica. Observaciones y conclusiones.

INSTITUTO TECNOLOGICO DE DURANGO

DEPARTAMENTO DE ELECTRICA Y ELECTRON SISTEMAS DIGITALES 1 PRACTICA # 10 COMPARADOR DE MAGNITUD DE 2 NUMERO DE 4 BITS. OBJETIVO.- Implementar circuitos en base a compuertas lgicas para comparar la magnitud relativa de nmeros binarios mediante el algoritmo de comparacin. MATERIAL Y EQUIPO 2 1 1 3 1 1 C.I. 7432, 3 C.I 7408, 3 C.I 7486 PROTOBOARD LEDs y 3 resistencias de 100 ohms. multimetro Juego de cables para conexin. C.I 7404

TEORA.- Introduccin; Comparacin dos nmeros de 4 bits Otro miembro til de la categora MSI en C. I. es el comparador de magnitud. Este es un circuito combinacional que compara dos cantidades binarias de entrada y genera salidas que indican qu palabra tiene la mayor magnitud. Entradas de datos: Se compara dos nmeros binarios sin signo de cuatro bits cada uno. El primero de ellos es A3 A2 A1 A0 y recibe el nombre de palabra A; el otro es B3 B2 B1 B0 y se denomina palabra B. El trmino "palabra" se emplea en el campo de las computadoras digitales para designar un grupo de bits que representa cierto tipo especfico de informacin. En este caso, las palabras A y B representan cantidades numricas. Salidas: Se tienen tres salidas que son activas en el nivel ALTO. La salida A>B tiene el nivel ALTO, cuando la magnitud de la palabra A es mayor que la de la palabra B. La salida A<B tiene el nivel ALTO cuando la magnitud de la A es menor que la de la palabra B. La salida tiene el nivel ALTO cuando las palabras A y B son idnticas. La relacin de igualdad se expresa por una funcin de equivalencia Xi = AiBi + AiBi desde i = 0,1,2,3, etc. Donde i es la posicin de cada par de bits de cada nmero binario. Xi = 1 si Ai = Bi en la posicin i. Para que exista la condicin de igualdad Todas la variables Xi deben ser iguales a 1 en todas las posiciones de i (A = B) = X3X2X1X0. Para designar si (B > A) (B < A) se inspecciona las variables relativas de cada par de bits, a partir de la posicin significativa ms alta. Si el par de bits son iguales en la posicin i se compara el siguiente par de bits de menor orden i-1, continuando la comparacin hasta que se encuentre un par de bits diferentes Mediante las siguientes ecuaciones: ( A < B ) = A3B3 + X3 A2B2 + X3 X2A1B1 + X3X2X1A0B0

( A > B) = A3B3 + X3A2B2 + X3X2A1B1 + X3X2 X1 A0 B0 DESARROLLO. 1.- Consultar el Manual TTL para obtener el diagrama de terminales de los circuitos integrados utilizados y reportarlos. 2.- En base a la ecuaciones resultantes y en base al algoritmo de comparacin de 2 nmeros de 3 bits implementar el circuito y comprobarlo practica-mente. (A = B) = X3X2X1X0. ( A < B ) = A3B3 + X3 A2B2 + X3 X2A1B1 + X3X2X1A0B0. ( A > B) = A3B3 + X3A2B2 + X3X2A1B1 + X3X2 X1 A0 B0

REPORTAR el procedimiento de la gua de practicas y el circuito implementado. OBSERVACIONES Y CONCLUCIONES.

INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES 1 PRACTICA # 11 DECODIFICADOR DE 3 A 8, DISEADO EN BASE A COMPUERTAS LOGICAS. OBJETIVO.- El alumno diseara en base a sus conocimientos tericos y habilidad adquirida en practicas anteriores un decodificador de 3 entradas y 8 salidas, armar el circuito con compuertas bsicas TTL. EQUIPO Y MATERIAL UTILIZADO 4 1 2 1 8 1 1 C.I 7420 C.I 7408 C.I 7404 PROTOBOARD LEDs y 8 resistencias de 100 ohms. Multimetro Juego de cables de conexin

TEORIA BASICA.-Introduccin a los Decodificadores Las cantidades discretas de informacin se presentan en computadoras digitales con cdigos binarios. Un decodificador es un circuito combinatorio que convierte informacin binaria de las n entradas codificadas a un mximo de 2n salidas nicas. Si la informacin codificada de n bits tiene combinaciones no usadas de bits, el codificador puede tener menos de 2n salidas. Los decodificadores que se presentan en esta seccin reciben el nombre de decodificadores de n en m lneas, donde m<= 2. Su objetivo consiste en generar los 2n (o menos) minitrminos de n variables de entrada. Un decodificador tiene n entradas y m salidas y se conoce como decodificador n x m. La operacin del decodificador se puede explicar de forma mas precisa a partir de la tabla de verdad, para cada combinacin de entrada posible, hay siete salidas que son iguales a 1. la variable de salida que es igual a 1 representa el trmino mnimo equivalente del nmero binario disponible en las lneas de entrada. DESARROLLO. 1.- Consultar el Manual TTL para obtener el diagrama de terminales de los circuitos integrados utilizados y reportarlos. 2.- Arme el circuito decodificador basado en compuertas TTL y pruebe su funcionalidad de acuerdo a la siguiente tabla de operacin.

TABLA 1 G1 1 1 1 1 1 1 1 1 G2A 0 0 0 0 0 0 0 0 G2B 0 0 0 0 0 0 0 0 C 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 A 0 1 0 1 0 1 0 1 Y7 1 1 1 1 1 1 1 0 Y6 1 1 1 1 1 1 0 1 Y5 1 1 1 1 1 0 1 1 Y4 1 1 1 1 0 1 1 1 Y3 1 1 1 0 1 1 1 1 Y2 1 1 0 1 1 1 1 1 Y1 1 0 1 1 1 1 1 1 Y0 0 1 1 1 1 1 1 1

CIRCUITO DECODIFICADOR DE 3 A 8.

Figura 1.- Circuito decodificador de 3 entradas a 8 salidas. REPORTAR: Todos los puntos de acuerdo a la gua de practica incluyendo la tabla y circuito . Observaciones y conclusiones. INSTITUTO TECNOLOGICO DE DURANGO

DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES 1 PRACTICA # 12 FLIP-FLOPS BASADOS EN COMPUERTAS OBJETIVO.-El alumno ser capaz de disear de manera lgica un Flip-Flop SR o condado secuencial en base al uso de compuertas TTL. Material y equipo utilizado. 1 - Fuente de voltaje de 5 volts. 1 - Multimetro digital. 1 - Circuito Integrado 7400. 1 - Circuito Integrado 7402. 1 - protoboard. 1 Juego de cables de conexin. TEORIA BASICA. Introduccin a los circuitos secuenciales. El circuito secuencial recibe informacin binaria de entradas externas, las cuales, junto con el estado presente de los elementos de almacenamiento, determinan el valor binario de las salidas, as como la condicin para cambiar el estado de los elementos de almacenamiento. El diagrama de bloques demuestra que las salidas de un circuito secuencial son funcin no slo de entradas externas sino tambin del estado presente de los elementos de almacenamiento, cuyo estado siguiente, es as mismo, funcin de las entradas y del estado presente. Por lo tanto, un circuito secuencial se especifica por medio de una secuencia temporal de entradas, salidas y estados internos. Existen dos tipos principales de circuitos secuenciales, y su clasificacin depende de la sincronizacin de las seales. Un circuito secuencial sincrnico es un sistema cuyo comportamiento puede definirse a partir del conocimiento de sus seales en instantes discretos. El comportamiento de un circuito secuencial asincrnico depende del orden en el que cambien las entradas, y el estado del circuito puede ser afectado en cualquier instante. Los elementos de almacenamiento que se utilizan comnmente en circuitos secuenciales asincrnicos son dispositivos con retraso de tiempo. La capacidad de almacenamiento de un dispositivo con retraso de tiempo se debe a que la seal tarda un tiempo finito en propagarse por el dispositivo. En la prctica, la demora de propagacin interna de las compuertas lgicas tiene duracin suficiente para producir el retraso que se necesita de manera que quiz no sean necesarias las unidades de demora o retraso reales. En los sistemas asincrnicos del tipo compuertas, los elementos de almacenamiento constan de compuertas lgicas donde la demora en la propagacin proporciona el espacio de almacenamiento requerido.

DESARROLLO.

1.- Consultar el Manual TTL para obtener el diagrama de terminales de los circuitos integrados utilizados y reportarlos. 2.- Mediante el uso del C. I. 7400 arme el circuito que se muestra en la figura 1 Polarice adecuadamente las compuertas a un nivel de voltaje de 5V.

3.-Utilizando el nivel de voltaje de 0 volts, para el estado binario 0 y el nivel de voltaje de 5 votls, para el estado binario 1, aplique los valores de entrada que se muestran en la tabla correspondiente al comportamiento del circuito (Flip-Flop SR) y anote en la tabla los valores correspondientes de las salidas del circuito para cada combinacin de entradas. S 0 0 1 1 R Q Q 0 1 0 1

4.- Mediante el uso del C. I. 7432 arme el circuito que se muestra en la figura 2 Polarice adecuadamente las compuertas a un nivel de voltaje de 5V.

5.-Utilizando el nivel de voltaje de 0 volts, para el estado binario 0 y el nivel de voltaje de 5 votls, para el estado binario 1, aplique los valores de entrada que se muestran en la tabla correspondiente al comportamiento del circuito (Flip-Flop SR) y en la tabla anote los valores correspondientes de las salidas del circuito para cada combinacin de entradas.

S 0 0 1 1

R Q Q 0 1 0 1

6.- Arme el circuito del FlipFlop SR con seal de reloj que se muestra en la figura 3 y Polarice adecuadamente el circuito integrado de las compuertas, a un nivel de voltaje de 5V.

7.-Utilizando el nivel de voltaje de 0 volts, para el estado binario 0 y el nivel de voltaje de 5 votls, para el estado binario 1, aplique los valores de entrada que se muestran en la tabla correspondiente al comportamiento del circuito (Flip-Flop SR) y en la tabla anote los valores correspondientes de las salidas del circuito para cada combinacin de entradas. S 0 0 1 1 R Q Q 0 1 0 1

REPORTAR: los todos circuitos y tablas de resultados correspondientes de acuerdo a la gua de practica. Observaciones y conclusiones

INSTITUTO TECNOLOGICO DE DURANGO

DEPARTAMENTO DE ELECTRICA Y ELECTRONICA SISTEMAS DIGITALES 1 PRACTICA # 13 FLIP-FLOPS Y LATCHS Y REGISTROS BASADOS EN CIRCUITOS INTEGRADOS. OBJETIVO.- El alumno utilizar y probara la funcionalidad los diferentes tipos de Flip Flops fabricados con tecnologa TTL con seales sincronas y asincronas. MATERIAL Y EQUIPO UTILIZADO. 1 - Fuente de voltaje de 5 volts. 1 - Multimetro digital. 1 - Circuito Integrado 7473. 1 - Circuito Integrado 7474. 1 - Circuito Integrado 7475. 1 . Circuito Integrado 74164 1 - protoboard. 8 - Resistencias limitadoras de 100 ohms 8 - LEDs 1 Juego de cables de conexin. TEORIA BASICA.- Multivibradores biestables El estado de un seguro de un multivibrador biestable es conmutado por un cambio momentneo en la entrada de control. Este cambio recibe el nombre de activador y se dice que la transicin que produce activa el flip-flop. El seguro D con pulsos de reloj en su entrada de control es bsicamente un flip-flop que se activa toda vez que el pulso pasa al nivel 1 lgico. En tanto que la entrada de pulsos permanezca en el nivel activo, cualquier cambio en la entrada de datos modificar el estado del seguro. Como consecuencia, las entradas de datos de los flip-flops se determinan en parte de las salidas de los mismos y de otros flip-flops. Cuando se utilizan seguros para los elementos de almacenamiento, se presenta una grave dificultad. Las transiciones de estado de los seguros empiezan tan pronto como cambia el pulso al nivel 1 lgico. El nuevo estado de un seguro puede aparecer en la salida mientras el pulso se mantiene activo. Los circuitos multivibradores biestables se construyen de tal manera para hacerlos operar en forma adecuada cuando sean parte de un circuito secuencial que emplee un generador comn de pulsos de reloj. Otra forma consiste en producir un flip-flop que se active slo durante una transicin de una seal de 0 a 1 (o de 1 a 0) y se desactive mientras dure el pulso de reloj. Este tipo de circuito recibe el nombre de flip flop activado por flanco. Este tipo de circuito se denomina multivibrador biestable flip-flop amo-esclavo.

Tabla 1.- Diferentes tipos de Flip- Flops

Tablas caractersticas o tablas de verdad Una tabla de caractersticas define las propiedades lgicas de un multivibrador biestable describiendo su operacin en forma tabular. Las tablas de caractersticas de cuatro tipos de flip- flops se presentan en la tabla 5.7. Estas definen el siguiente estado como funcin de las entradas y el estado presente. Q(t) se refiere al estado presente antes de la aplicacin de un pulso. Q(t + 1) es el estado siguiente un periodo de reloj despus. Ntese que la entrada de pulsos en e no figura en la tabla de caractersticas, pero se implica que ocurre entre el tiempo t y t + l.

(a) Flip-flop JK
Q

J
DESARROLLO

K Q (t + 1)
Q

0 0 1

1.- Consultar el Manual TTL para obtener el diagrama de terminales de los circuitos integrados utilizados y reportarlos.

2.- Mediante los manuales antes mencionados identifique y ponga en funcin el FlipFlop J K y el Flip-Flop Tipo D en base a sus tabla de verdad. Aplique la seal del generador a bajas frecuencias en la Terminal de la seal de reloj CLK y aplique los diferentes valores binarios en las terminales JK y D. Para visualizar la salida normal y complemento utilice resistencias limitadoras de 100 y 2 LEDs.

0 1 0

Q(t) 0 1

3.- Verifique en cada Filp Flop el valor de salida mediante la medicin de voltaje utilizando un multimetro digital. Reporte estos valores medidos y los valores lgicos observados en los leds. Para cada combinacin lgica de entrada de acuerdo a sus ablas caractersticas.

4.- Mediante el C. I. 7475 ( LATCH) atrape un dato de 4 bits utilizando las entradas 1D,2D,3D,4D y visualice la salida conectando a la salida normal 1Q,2Q,3Q,4Q 4 resistencias limitadoras de 100 ohms y 4 LEDs . Conecte adecuadamente las Terminales E12 y E34.

5.- Mediante el C. I. 74164 ( REGISTRO DE 4 BITS) carge un dato de 8 bits utilizando un de las entradas serie A o B, si decide cargar el dato en la entrada A en una segunda opcin realice la misma operacin pero a travs de la entrada B y visualice la salida conectando a la salida normal QA,QB,QC,QD,QE,QF,QG,QH 8 resistencias limitadoras de 100 ohms y 8 LEDs . Conecte adecuadamente las Terminales CLK = 1 Para que no tenga ningn efecto y la seal de reloj del generador o de un timer a la Terminal CLK .

REPORTAR . El formato de la gua de prcticas y los diagramas de los circuitos integrados utilizados ms los circuitos probados en el desarrollo de la practica. OBSERVACIONES Y COMENTARIOS. INSTITUTO TECNOLOGICO DE DURANGO DEPARTAMENTO DE ELECTRUCA Y ELCTRONICA

SISTEMAS DIGITALES 1

PRACTICA # 14

CONTADORES BINARIOS Y BCD OBJETIVO.- El alumno deber probar sus conocimientos en el manejo de circuitos integrados al implementar un circuito secuencial, contador BCD de 00-99, utilizando como circuito base el Circuito Integrado 7490.

MATERIAL Y EQUIPO UTILIZADO. 1 Generador de seales 1 Multimetro digital 2 C.I. 7490 1 C.I. 7447 y 1 C. I. 7448 2 DISPLAY`s, 1 nodo comn y otro ctodo comn 1 7408 14 Resistencias de 100 120 ohms TEORIA BASICA.- Introduccin a los contadores Tabla 1

A).- Contadores Binarios ascendente y descendente Para comprender la operacin de un contador binario, refirase a su secuencia de cuenta que aparece del lado izquierdo de la tabla 1. La cuenta comienza con 0 binario y aumenta en uno con cada entrada de pulsos de cuenta. Despus de la cuenta de 15, el contador regresa a 0 y repite la cuenta.

El bit menos significativo (Ao) se complementa con cada entrada de pulsos de cuenta. Toda vez que Ao pasa de 1 a 0, ste complementa a Al. Cada vez que A1 pasa de 1 a 0, complementa a A2. Cada vez que A2 pasa de 1 a 0, complementa a A3 y as sucesivamente para cualquier bit de orden superior en el contador de ondulacin. Como Ao pasa de 1 a 0, activa a A1 y la complementa. Como resultado, A1 pasa de 1 a 0 que complementa a A2 cambindola de 0 al 1. A2 no activa a A3 porque A2 produce una transicin positiva y el flip-flop responde slo a transiciones negativas. Por lo tanto la cuenta de 0011 a 0100 se logra cambiando los bits, uno a la vez, de 0011 a 0010 (A0 = 0), luego a 0000 (A1 = 0) y por ltimo a 0100 (A2 = 1). Los flips-flops cambian consecutivamente uno a la vez y la seal se propaga a travs del contador en forma de ondulaciones de una etapa o fase a la siguiente. Un contador binario con una cuenta binaria normal se considera como un contador ascendente. Un contador binario con una cuenta regresiva recibe el nombre de contador descendente. En un contador descendente, la cuenta binaria se reduce en uno con todo pulso de cuenta de entrada. La cuenta de un contador descendente de cuatro bit s empieza desde el 15 binario y contina con los nmeros binarios 14, 13, 12, hasta 0 y de vuelta a 15. La sucesin de cuenta del contador binario descendente se presenta en la tabla 1 parte izquierda. B).- Contador Decimal Codificado en Binario BCD. Un contador decimal sigue una secuencia de diez estados en vez de los 16 de un contador binario. Los estados en particular son prescritos por el cdigo binario que representa el dgito decimal. El decimal codificado binario (BCD) utiliza los nmeros binarios del 0000 al 1001 para representar los dgitos decimales codificados del 0 al 9. Por lo tanto un contador BCD sigue la cuenta binaria del 0 al 9; pero en vez de continuar con la cuenta de 10 como en un contador binario, el contador BCD pasa de 9 a 0 y despus repite la cuenta. El contador de ondulacin binario puede operarse como un contador BCD haciendo las conexiones externas como se indica en la figura 1

Figura 1.- Contador binario operado como contador BCD. Las salidas A1 y A3 se conectan a las entradas de una compuerta NAND. La salida de la compuerta NAND se conecta a la entrada de puesta a ceros del contador.

Cuando A 1 Y A 3 son iguales al, la salida de la compuerta NAND se vuelve 0 (una seal de bajo nivel) y las cuatro salidas se ponen en 0 independientemente de la entrada de cuenta.

Figura 2.- C. I. 7490 Contador BCD

DESARROLLO. 1.- Consulte los manuales TTL O ECG y obtenga los diagramas de terminales de los circuitos a utilizar para la implementacin de la practica. 2.- En base al C. I. LM 555 Disee bajo instrucciones del maestro un oscilador astable (generador de seal de reloj) para ser utilizado en la sincronizacin de cuenta del contador BCD, se muestra un ejemplo de conexin para generar una seal cuadrada. .

3.-En base al circuito que se muestra y a la configuracin de terminales y tablas de operacin de los manuales TTL, Implemente el circuito contador con el C. I. 7490 y el decodificador C. I. 7447 para que cuente de 0 a 9.

4.- En base al circuito que se muestra y a la configuracin de terminales y tablas de operacin de los manuales TTL, Implemente el circuito contador con el C. I. 7490 y el decodificador C. I. 7448 para que cuente de 0 a 9.

REPORTE: Los resultados obtenidos, los circuito realizado en la prctica, en general de los puntos mencionados en la gua de prctica. Observaciones y/o conclusiones.

Potrebbero piacerti anche