Sei sulla pagina 1di 16

FUENTE DE ALIMENTACION

Fuente de alimentación necesaria para el equipo y que se realizará en placa aparte. Se


trata de una fuente de alimentación simétrica que proporciona +12V y -12V. En este
esquema el conector de red, el interruptor y el fusible van colocados en la caja por lo
que son externos a la PCB.

En esta parte del proyecto se presenta la captura esquemática del corazón del generador,
basado en el circuito integrado XR2206. Las resistencias R10, R16, R21, R22 y R30
son resistencias ajustables en las que se realizaran los ajustes descritos en el esquema.
Los puntos de medida TP1, TP2, TP3 y TP4 son puntos de prueba donde se tomaran
mediciones a la hora de realizar los ajustes. Los componentes que han de colocarse en
los paneles de la caja son:
* R13 y R27 son potenciómetros lineales.
* SW2-SW3 y SW4-SW5, son dos dobles conmutadores de dos posiciones.
* SW6 va unido mecánicamente al selector de forma de onda de la etapa de salida.
* U3 (Rango Frecuencia) es un selector rotatorio de 6 posiciones.
* J5 es un conector BNC.
En éste esquema se muestra la parte relacionada con la salida de la señal. Los
componentes que han de colocarse en los paneles de la caja son:
* 1 (Selector Forma Onda) es un doble selector rotativo de 3 posiciones ya que va unido
a SW6 del esquema del generador.
* SW1 es el interruptor de atenuación.
* R2 y R4 son potenciómetros lineales.
* J5 es un conector BNC.
Cantidad Descripción Cantidad Descripción Cantidad Descripción
Condensadores Resistencias Resto de material
4 100nF 2 33k 1 Diodo 1N4148
3 10nF 2 100k 1 Diodo LED rojo de 5
1 100uF 1 47 1 mm.
1 10uF 1 100 1 Porta diodos
2 1uF 2 10k 1 Rectificador-Puente
1 1nF 1 82k 1 de diodos B40C1000
2 Electrolítico 2 4k7 2 Fusible 230V/250mA
2 2200uF/35V 2 1k 1 Porta fusibles
Electrolítico 2 3k3 1 Conector BNC
100uF/25V 1 12k 1 Conector de red
2 8k2 2 Transistor BC547
1 6k8 1 Interruptor
1 56k 4 Conmutador doble
1 22k 1 Interruptor de
1 680 1 alimentación
1 820 1 ON/OFF
2 Ajustable 25k 1 Espadín
1 Ajustable 50k 1 Transformador
1 Ajustable 10k 1 12+12V
1 Ajustable 1k 1 Selector rotatorio
1 Potenciómetro lineal 5k 1 doble de 3
2 Potenciómetro lineal posiciones
1 10k Selector rotatorio de
Potenciómetro lineal 6 posiciones
100k LM7171
XR2206
UA741
L7812
L7912

El generador de funciones que mostramos es un aparato electrónico económico, de facil


construcción y ajuste, que generará las tres formas de onda básicas (senoidal, cuadrada
y triangular) con un rango de frecuencia de salida que va desde 1Hz hasta 1 MHz.

La salida puede ser ajustada en frecuencia por rangos y dentro de cada rango
linealmente y por supuesto su amplitud. Dispondrá de un control de atenuación de la
señal de salida de 20 dB y de la posiblidad de seleccionar modulación entre AM o FM
con entrada exterior de señal.
Su construcción está basada en el circuito integrado XR2206. La entrada de modulación
se realiza con un amplificador operacional 741 y un transistor BC547. Se dota al
circuito principal de una etapa de salida basada en un operacional 7171 y ha sido
desarrollado, contruido y probado en el módulo de desarrollo y construccióin de
prototipos electrónicos por los alumnos y profesores detallados en la cabecera.
Además nuestro generador va a ir dotado de su fuente de alimentación con los
accesorios habituales de conexión para completar un equipo autónomo.

Definición esquemática
Realización de circuitos impresos:
Fuente de alimentación
Generador
Mecanizado de la caja
Montaje y conexionado
Funcionamiento y Ajustes
Fotografías del montaje

Una vez termianda la soldadura de componenetes y cableado de las dos


placas, como podemos ver en las fotografias de realización de circuitos
impresos, solamente resta soldar cada cable con su componente y en la patilla
correspondiente. Las conexiones se realizarán con cable de colores de 0'6 mm
excepto el conector BNC de salida que se debe realizar con cable coaxial de 50
ohmios de impedancia y la parte de alterna de la fuente de alimentación que se
realiza con cable de 1 mm. Como podemos ver en los esquemas cada pad
debe ir soldado a un determinado punto y en un determinado componente,
razón por la que vamos a describir el conexionado entre placas de circuito
impreso y componentes externos de forma individualizada. No obstante si
omitiremos explicar el conexionado de las partes que resulten más evidentes.
Se comprueba que el valor estampado en el componente es indicado en la
serigrafía y se procede a soldar teniendo en cuenta que el pad cuadrado es el
que corresponde a la primera patilla del potenciómetro visto según la fotografía.
El orden de soldadura es:

En esta sección vamos a describir el funcionamiento y los ajustes del generador


DE-XR1.
El rango de frecuencia generada por el XR2206 viene determinado por el valor del
condensador conectado entre las patillas 5 y 6. La selección del rango de frecuencia del
generador se consigue gracias al empleo de un conmutador rotatorio de 6 posiciones.
Mediante este elemento se conmuta la capacidad que en cada momento está presente
entre las citadas patillas, consiguiéndose de esta forma variar el rango de frecuencia. Al
usar un conmutador de 6 posiciones serán 6 los rangos de frecuencia disponibles. Estos
rangos son 1Hz a 10Hz, 10Hz a 100Hz, 100Hz a 1kHz, 1kHz a 10kHz, 10kHz a
100kHz y 100kHz a 1MHz. Evidentemente, los valores de los condensadores se han
elegido para que se verifiquen estos rangos de frecuencia. Para la elección de los
mismos se hizo uso de la siguiente expresión que aparece en las hojas de características
(datasheet) del XR2206:

FRECUENCIA DE SALIDA

El ajuste de la frecuencia dentro de cada rango se determina por la resistencia R de la


expresión anterior, que en nuestro caso es la formada por el conjunto R13+R14 y que se
conecta como muestra el esquema. Según el datasheet el valor mínimo de R13+R14
debe ser 1kohmio. Se ha seleccionado dicho valor mínimo por cuestiones de
simplicidad de cálculo tal como se verá a continuación.
Con el valor del potenciómetro seleccionado el valor máximo del conjunto de
resistencias es de 11kohmios. Así, es posible determinar el condensador de cada rango
de la siguiente forma: la frecuencia máxima del rango se obtendrá para el valor mínimo
de resistencia.
Por ejemplo, en el rango de 10Hz a 100Hz el valor del condensador deberá ser,
despejando de la expresión anterior, de 10uF.
Con este condensador se conseguirá la frecuencia mínima del rango cuando el conjunto
de resistencias presente su mayor valor, 11k. En este caso resulta una frecuencia de
9Hz, valor algo inferior a los 10Hz del rango. Se hubiera obtenido 10Hz si el valor
máximo de la resistencia hubiese sido 10kohmios, pero ello no es posible con los
valores comerciales disponibles para los potenciómetros.

AMPLITUD Y SIMETRIA DE LAS SALIDAS

El ajuste de la amplitud de las señales de salida del XR2206 se consigue mediante la


circuitería conectada a la patilla 3. Esta circuitería consiste en un divisor de tensión
formado por R11 y R12 que establece un valor de tensión de 0V en el punto medio del
mismo. Bien es cierto que se podría haber eliminado el divisor de tensión y haber
conectado R16 directamente a masa (queda abierta esa posibilidad para los
experimentadores intrépidos :), pero se prefirió hacer uso del mismo porque todos los
esquemas de aplicación suministrados por el fabricante del XR2206 en su datasheet lo
hacen, aunque si bien es cierto que en todos esos casos del fabricante la alimentación es
simple. El condensador C10 mantiene el potencial del punto central del divisor de
tensión estable frente a fluctuaciones indeseables. R16 es la que permite ajustar el nivel
de las señales de salida junto con los divisores resistivos para la señal triangular y la
cuadrada. El empleo de estos divisores es necesario debido a que los niveles de salida
que entrega el XR2206 para cada tipo de señal no son los mismos. Así, la señal de
salida de la patilla 2 tendrá un nivel u otro según se trate de triangular o sinusoidal:

Otro tanto de lo mismo ocurre con la salida de señal cuadrada, patilla 11. El ajuste de la
amplitud de salida se realiza sobre la onda sinusoidal conectando un osciloscopio en
TP1. Los divisores de tensión aseguran que las amplitudes de las demás señales
coincidan con la sinusoidal, que deberá ajustarse a una amplitud de 1,41V. Se ha
incluido TP3 para realizar comprobaciones de amplitud y/o forma sobre la salida de
señal cuadrada.

AJUSTE THD

Las señales generadas por el XR2206 están disponibles en las patillas 2 (sinusoidal y
triangular) y 11 (cuadrada). Por tanto, debe existir alguna forma de determinar si la
patilla 2 entrega una señal triangular o una señal sinusoidal. La determinación de la
forma de onda presente en la patilla 2 se consigue colocando o no una resistencia entre
las patillas 13 y 14. Gracias al interruptor SW2 es posible hacer esto a voluntad. SW2
forma parte de un conmutador rotatorio doble de tres posiciones. Este conmutador
selecciona, como se verá en detalle en su momento, la forma de onda de salida del
generador.
Cuando SW2 está abierto en la patilla 2 se obtiene una onda triangular. Cuando se
cierra, y dependiendo del valor de R10, se consigue una señal más o menos sinusoidal
en la patilla 2 (si R10 está lo suficientemente desajustada es posible que la señal de la
patilla 2 sea triangular y no sinusoidal). Se hace necesario, por tanto, un proceso de
ajuste del valor de R10 para conseguir una sinusoide lo más perfecta posible,
equivalente a una THD del 0%. Dicho ajuste sería deseable realizarlo con la aparamenta
adecuada, es decir, un medidor de distorsión o un analizador de espectros capaz de
trabajar a estas frecuencias. Nosotros no disponemos de tales aparatos, así que el ajuste
se hizo "a ojo", colocando un osciloscopio en TP1, ajustando el generador a una
frecuencia de aproximadamente 1kHz y forma de onda sinusoidal y retocando el valor
de R10 hasta obtener una señal lo más sinusoidal posible a la vista del operador que
realizó el ajuste. Por último, decir que este ajuste ha de realizarse al unísono con el de
simetría de la onda sinusoidal (ver apartado correspondiente).

MODULACION
El XR2206 permite la modulación de la señal generada tanto en amplitud (AM) como
en frecuencia (FM). SW4/SW5 permiten que se active o no la característica de
modulación del generador, siendo SW2/SW3 el encargado de seleccionar si se modulará
en AM o en FM. La señal moduladora se inyectará en el generador a través del conector
BNC J5. Esta señal podrá ajustarse en nivel por medio de R27, pasando a continuación
a través de una etapa separadora compuesta por un operacional uA741 en configuración
de seguidor de tensión. R30 permite anular la tensión de offset de este operacional, por
o que debe ajustarse para que en TP4 se obtenga un valor de 0V (este ajuste debe
hacerse con la modulación desactivada). La señal moduladora estará presente pues en la
patilla 6 del uA741, y dependiendo de que se haya seleccionado AM o FM pasará
respectivamente a la patilla 1 del XR2206 o al divisor de base del transistor BC547
respectivamente. Tenemos pues lo siguiente:

Modulación AM: R28, R29 y R30 forman una red resistiva tal que determina una
tensión de unos +2V en la patilla 1 del XR2206, lo que posibilita aprovechar
eficientemente las características de modulación AM del integrado:
La gráfica esta dibujada para una alimentación simple, por lo que en nuestro caso
(alimentación simétrica) el punto Vcc/2 de la misma corresponderá a 0V. La señal
moduladora se superpondrá a la tensión continua de +2V presente an la patilla 1
produciendo la modulación AM, tal como se puede deducir de la gráfica de arriba.

Modulación FM: según el datasheet del XR2206 la frecuencia de salida del mismo es
función de la suma de corrientes de las patillas 7 y 8 del integrado. En concreto:

En dicho documento se dice además que tanto la patilla 7 como la 8 presentan una baja
impedancia y su potencial es de +3V respecto del potencial de la patilla 12 (-Vcc). Si la
modulación está desactivada o está activada pero seleccionada la AM el divisor de base
de Q1 queda sin alimentar, provocando que dicho transistor permanezca en corte y en
TP2 se tenga u potencial de +12V (+Vcc). Como el potencial la patilla 8 es de -9V (o
sea, 3V más positivos que la tensión de la patilla 12) D1 queda inversamente
polarizado. En estas condiciones la única corriente que determina la frecuencia de la
señal generada es la introducida en la patilla 7. Cuando se selecciona FM y la
modulación está activada el divisor de base de Q1 polariza la base del mismo llevándolo
hasta la casi saturación (esto con un ajuste correcto de R22), en concreto sitúa el punto
de trabajo de Q1 de tal forma que en TP2 aparecen -11,3V. En estas condiciones D1
conduce y la patilla 8 tendrá, junto con la patilla 7, el control de la frecuencia de salida
del integrado. Si consideramos ahora que la señal moduladora se superpone a la de
alimentación del divisor de base de Q1 podremos ver que la tensión de TP2 oscilará al
ritmo de la señal moduladora y, por tanto, la corriente introducida en la patilla 8 del
integrado. De esta forma se consigue variar la frecuencia de salida del mismo a partir de
la señal moduladora (o sea, FM).

FORMA DE ONDA Y AMPLITUD DE SALIDA

La selección de la forma de onda de salida del generador se efectúa gracias al empleo de


un conmutador rotatorio doble de 3 posiciones, SW6. Este conmutador simplemente
determina cuáles de las señales presentes de salida del XR2206 pasa a la etapa
amplificadora de salida. La atenuación de 20dB se consigue mediante la resistencia R3,
en serie con el potenciómetro de ajuste de amplitud de salida, R4. SW1 permite
cortocircuitar R3 y, de esta forma, eliminar la atenuación de 20dB que ésta introduce. O
sea, si SW1 está cerrado se tendrá una atenuación de 0dB y si está abierto se tendrá una
atenuación de 20dB en el nivel de salida del generador.

AMPLIFICADOR DE SALIDA
Se ha empleado como amplificador de la etapa de salida del generador un operacional
de alta velocidad, ya que debe ser capaz de conseguir una ganancia lo suficientemente
elevada para señales de hasta 1MHz en señales sinusoidales. Además debe de mantener
esa ganancia para, al menos, los primeros armónicos de las señales triangular y
cuadrada, ya que de lo contrario deformaría estas señales de forma inadmisible.
La configuración elegida para este amplificador es de lo más típica: amplificador
inversor. La ganancia del mismo viene determinada por las resistencia R6+R7 y R9, y
su valor se ha ajustado a 8,1. R5 determina que la impedancia de salida del amplificador
sea de 50 ohmios.

OFFSET DESACOPLOS
La entrada no inversora del LM7171 se ha empleado para dotar al generador de un
ajuste del OffSet DC de salida. Un simple divisor de tensión variable cumple esta
función (R1, R2 y R8). En cuanto a los condensadores, C1 impide fluctuaciones de la
tensión de OffSet ajustada mediante R2 y C2 y C3 son condensadores de desacoplo de
la alimentación del LM7171. Todos estos condensadores han de colocarse físicamente
lo más cerca posible del integrado para que cumplan su misión con eficacia.
Como posible mejora del generador se podría haber incluido un interruptor que
permitiese activar y desactivar el OffSet DC de salida. Esto no presenta mayor
complicación. Basta colocar un interruptor en paralelo con los terminales de los
extremos de R2. Cuando dicho interruptor esté cerrado el OffSet estará Off, y viceversa,
cuando esté abierto el OffSet estará activado.

CIRCUITOS IMPRESOS
Imprimimos cada uno de los archivos anteriores, utilizando para los fotolitos papel
vegetal e impresora laser preferentemente. Situamos los dos fotolitos enfrentados por la
cara impresa superponiendolos lo más exactamente posible y construimos un sobre
donde introducir la placa para insolar. En insoladora de doble cara con luz actínica y
placa presensibilaza positiva comercial el tiempo de exposición será de unos 3 mínutos,
pasados los cuales llevamos la placa al revelador y posteriormente al atacado. Una vez
realizados estos pasos procedemos al taladrado y corte de los bordes de la citada placa,
y a la inserción y soldadura de todos los componentes y vía de paso entre caras. La cara
bottom es la definición de las pistas y cara top es un plano de masa que se ha creado
para eliminar posibles ruidos que puedan interferir el normal funcionamiento del
generador. La PCB vista por las dos caras antes de insertar y soldar componentes es la
que sigue:

Potrebbero piacerti anche