Sei sulla pagina 1di 31

1 2 3 4 5 6 7 8

CPU CORE
MAXIM
VCC_CORE ZR3 X'TAL
MAX8774 Page:28 14.31818MHz
AMD S1
+1.2V Turion 64 Rev.F Dual-Core/
DDR-II SODIMM1 DDR-II 533/667MHz CPU THERMAL
A +1.2V +1.8VSUS Page: 7 Sempron Rev.F Single-Core A

+1.8VSUS/+1.8V Dual-Core 35W / Single-Core 25W SENSOR


Page:5 Clock generator
+1.8V (638 S1g1 socket)
+0.9V_VTER DDR-II SODIMM2 Page:3, 4, 5, 6
ICS951462
TI +0.9V_VTER Page: 7
Page:12
TPS51116/51117
Page:29 HT_LINK

+3VPCU

+3VPCU/+5VPCU +3V_S5
+3VSUS
TV-OUT NB
+3V_S5 S-Video RGB CRT
+3V Page: 21 Page: 21
+3V/+5V ATi RS485
+5VPCU
+3VSUS/+5VSUS +5VSUS
TI
PCIE 465-Pins FCBGA Package LVDS LVDS
+5V
mini CARD Page: 21
TPS51120 Page: 20 Page: 8, 9 ,10, 11
Page:30
B B

+1.5V
+1.5V/+2.5V Manufacturing Option
+2.5V
2X PCIE
GMT REALTEK 8100SBL/CL
G966/913-C Page:31
SATA HDD
10/100 LAN
Page: 22 SATA RTC TRANSFORMER
SB AD18
Page: 17
BATTERY CHARGER Page: 14 REQ0# / GNT0#
MAXIM PATA HDD ATA 66/100 INTE# Page: 17
ATi SB460
ISL6251 Page: 22
Page:27 BATTERY RJ45
549-Pins BGA Package Page: 17
IDE-ODD PCI BUS 33MHZ ENE CB714/1410
Page: 22 Cardbus controller
Page: 13, 14, 15, 16
Azalia USB 2.0 PCMCIA
AD17
SLOT
Power State Table REQ3# / GNT3#
Page: 19
C Power Control Power INTH#,INTG# C

Name Signal State


MIC-IN X'TAL LPC 33MHZ Page: 18
CARD
+VCC_CORE VRON S0 Page: 24 AUDIO CODEC 32.768KHz READER
REALTEK- ALC883 Page: 19
+2.5V MAINON S0 48-pins Package KBC BIOS MINI-PCI
LINE-IN Page: 23
MODEM
+3VPCU N/A ALWAYS FOXCONN MDC NS PC97541V SST39VF080
Wireless LAN
+3VS5 S5_ON S0-S5 Page: 24 176-Pins Package Page: 25 AD20
+3VSUS SUSD S0-S3 Page: 23 REQ2# / GNT2#
Audio AMP Audio AMP Page: 25 Bluetooth
+3V MAIND S0 INTG#,
MAX4411 MAX9710 USB
+5VPCU N/A ALWAYS interface
INTE#
Page: 20
+5VSUS SUSD S0-S3 Page: 23 Page: 24 Page:20
+5V MAIND S0 USB6
SYSTEM
+1.2V MAINON S0 USB PORT*3
LINEOUT SPEAKER RJ11 Touchpad Keyboard FAN
+0.9V_VTER MAINON S0 Page: 20
D
Page: 24 Page: 24
(External) Page: 26 Page: 26 Page: 26 D

+1.8VS5 S5_ON S0-S5 USB0,1,4


+1.8VSUS SUSON S0-S3
+1.8V MAIND S0 USB CAMERA PROJECT : ZR3
+1.5V MAINON S0 Page: 20 Quanta Computer Inc.
USB2 Size Document Number Rev
BLOCK DIAGRAM 1A

Date: Friday, August 04, 2006 Sheet 1 of 31


1 2 3 4 5 6 7 8
5 4 3 2 1

POWER VOLTAGE ACTIVE SCOPE PAGE


TABLE OF CONTENTS POWER UP SEQUENCE
+12V +12V S0
+5VPCU
Page 01 : BLOCK DIAGRAM +5V +5V S0
Page 02 : TABLE OF CONTENTS +3V +3.3V S0 RSMRST#
Page 03 : ATHLON64 HT I/F +5VPCU +5V ALWAYS

SYSTEM
SUSB#, SUSC#
D Page 04 : ATHLON64 DDRII MEMORY I/F +3VPCU +3.3V ALWAYS D

Page 05 : ATHLON64 CTRL & DEBUG +5VSUS +5V S0-S3 +12V,5V,3.3V


Page 06 : ATHLON64 PWR & GND +3VSUS +3.3V S0-S3
HWPG_1.2V
Page 07 : DDRII SODIMMX2 +3V_S5 +3.3V S0
Page 08 : RS485-HT LINK0 I/F HWPG_1.8V
Page 09 : RS485-PCIE LINK I/F VCCCORE VID[0..5] S0

Page 10 : RS485-SYSTEM I/F & DVO VDDA_RUN +2.5V S0 CPU_COREPG


+1.2V S0

CPU
VLDT_RUN
Page 11 : RS485-POWER NB_PWRGD
Page 12 : External CLOCK GENERATOR +0.9V_VTER +0.9V S0

Page 13 : SB460M PCIE/PCI/CPU/LPC I/F +1.8V +1.8V S0 EC_PWRGD

Page 14 : SB460M ACPI/GPIO/USB/AC97 +1.8VSUS +1.8V S0-S3


CPU_PWRGD
Page 15 : SB460M HDD/POWER/DECOUPLING +1.8V +1.8V S0
PCI_RST#

DDR2
Page 16 : SB460M STRAPS +1.8VSUS +1.8V S0-S3
Page 17 : LAN RTL8110SBL/CL +0.9V_VTER +0.9V S0 CPU_RST#
Page 18 : ENE CB714/1410
C Page 19 : CARD READ & CARDBUS SLOT +1.8V +1.8V S0 T1 T2 T3 C

Page 20 : MINI PCI & PCI-E,USB PORT,BLUETOOTH +1.8VSUS +1.8V S0-S3

Page 21 : CRT & LVDS & S-Video +3V +3.3V S0 T1>= 70 ms 1ms < T2 < 10ms
1ms < T3 < 5ms

Page 22 : HDD & CDROM & HOLES VDDC +1.2V S0

Page 23 : ALC883 & MDC & HP AMP VDD_HT +1.2V S0

RC485 NB
Page 24 : SPEAKER AMP / JACK VDDA12 +1.2V S0

Page 25 : 97551 & FLASH VDD18 +1.8V S0

Page 26 : T/P,FAN,SWITCH,LED,K/B VDDA18 +1.8V S0

Page 27 : BATTERY CHARGER VDD_DVO +1.8V S0

Page 28 : VCORE MAX8774 VDDR3 +3.3V S0

Page 29 : TPS51116/51117 1.8V/1.2V AVDD_NB +3.3V S0

Page 30 : TPS51120 3/5V AVDDQ +1.8V S0

Page 31 : +1.5V / 2.5V PLLVDD +1.8V S0


LPVDD +1.8V S0
LPVDD18A +1.8V S0
B
+3V +3.3V S0 B

+1.8V +1.8V S0
+3V_S5 +3.3V S0
+1.8V_S5 +1.8V S0
VDD +1.8V S0
AVDD_CK +1.8V S0
AVDD_SATA +1.8V S0
+1.8V S0
SB460 SB

XTLVDD_ATA
PLLVDD_ATA +1.8V S0
PCIE_PVDD +1.8V S0
PCIE_VDDR +1.8V S0
CPU-PWR +1.2V S0
VDDQ +3.3V S0
V5_VREF +5V S0
+1.8V_SUB_PHY +1.8V S0
A
+3VSUS +3.3V S0-S3 A

+SB_S5_3V +3.3V S0
+SB_S5_1.8V +1.8V S0
PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
TABLE OF CONTENTS 1A

Date: Wednesday, August 09, 2006 Sheet 2 of 31


5 4 3 2 1
5 4 3 2 1

D PROCESSOR HYPERTRANSPORT INTERFACE D

VLDT_Ax AND VLDT_Bx ARE CONNECTED TO THE LDT_RUN POWER


SUPPLY THROUGH THE PACKAGE OR ON THE DIE. IT IS ONLY CONNECTED
ON THE BOARD TO DECOUPLING NEAR THE CPU PACKAGE

VLDT_RUN U16A

D4 VLDT_A3 VLDT_B3 AE5


D3 AE4 C21 4.7U/6.3V_6
VLDT_A2 VLDT_B2
D2 VLDT_A1 VLDT_B1 AE3
D1 VLDT_A0 VLDT_B0 AE2

(8) HT_CADIN15_P N5 L0_CADIN_H15 L0_CADOUT_H15 T4 HT_CADOUT15_P (8)


(8) HT_CADIN15_N P5 L0_CADIN_L15 L0_CADOUT_L15 T3 HT_CADOUT15_N (8)
(8) HT_CADIN14_P M3 L0_CADIN_H14 L0_CADOUT_H14 V5 HT_CADOUT14_P (8)
M4 U5 +1.2V VLDT_RUN
(8) HT_CADIN14_N L0_CADIN_L14 L0_CADOUT_L14 HT_CADOUT14_N (8)
L5 V4 HT_CADOUT13_P (8) L45
(8) HT_CADIN13_P L0_CADIN_H13 L0_CADOUT_H13
(8) HT_CADIN13_N M5 L0_CADIN_L13 L0_CADOUT_L13 V3 HT_CADOUT13_N (8)
K3 Y5 FBJ3216HS800
C (8) HT_CADIN12_P L0_CADIN_H12 L0_CADOUT_H12 HT_CADOUT12_P (8) C
(8) HT_CADIN12_N K4 L0_CADIN_L12 L0_CADOUT_L12 W5 HT_CADOUT12_N (8)
(8) HT_CADIN11_P H3 L0_CADIN_H11 L0_CADOUT_H11 AB5 HT_CADOUT11_P (8)
L47 8/17 Change 180pF to placed on the VLDT power fill.
(8) HT_CADIN11_N H4 L0_CADIN_L11 L0_CADOUT_L11 AA5 HT_CADOUT11_N (8)
G5 AB4 FBJ3216HS800
(8) HT_CADIN10_P
H5
L0_CADIN_H10 L0_CADOUT_H10
AB3
HT_CADOUT10_P (8) 80 ohm(4A)
(8) HT_CADIN10_N L0_CADIN_L10 L0_CADOUT_L10 HT_CADOUT10_N (8)
(8) HT_CADIN9_P F3 L0_CADIN_H9 L0_CADOUT_H9 AD5 HT_CADOUT9_P (8)
(8) HT_CADIN9_N F4 L0_CADIN_L9 L0_CADOUT_L9 AC5 HT_CADOUT9_N (8)

1
(8) HT_CADIN8_P E5 L0_CADIN_H8 L0_CADOUT_H8 AD4 HT_CADOUT8_P (8)
F5 AD3 C123 C116 C129 C128 C135 C134
(8) HT_CADIN8_N L0_CADIN_L8 L0_CADOUT_L8 HT_CADOUT8_N (8)
N3 T1 4.7U/6.3V_6 4.7U/6.3V_6 .22U/6V_4 .22U/6V_4 180P_4 180P_4
(8) HT_CADIN7_P L0_CADIN_H7 L0_CADOUT_H7 HT_CADOUT7_P (8)

2
(8) HT_CADIN7_N N2 L0_CADIN_L7 L0_CADOUT_L7 R1 HT_CADOUT7_N (8)
(8) HT_CADIN6_P L1 L0_CADIN_H6 L0_CADOUT_H6 U2 HT_CADOUT6_P (8)
(8) HT_CADIN6_N M1 L0_CADIN_L6 L0_CADOUT_L6 U3 HT_CADOUT6_N (8)
(8) HT_CADIN5_P L3 L0_CADIN_H5 L0_CADOUT_H5 V1 HT_CADOUT5_P (8)
(8) HT_CADIN5_N L2 L0_CADIN_L5 L0_CADOUT_L5 U1 HT_CADOUT5_N (8)
J1 W2
(8) HT_CADIN4_P
K1
L0_CADIN_H4 L0_CADOUT_H4
W3
HT_CADOUT4_P (8) LAYOUT: Place bypass cap on topside of board
(8) HT_CADIN4_N L0_CADIN_L4 L0_CADOUT_L4 HT_CADOUT4_N (8)
(8) HT_CADIN3_P G1 L0_CADIN_H3 L0_CADOUT_H3 AA2 HT_CADOUT3_P (8) NEAR HT POWER PINS THAT ARE NOT CONNECTED DIRECTLY
(8) HT_CADIN3_N H1 L0_CADIN_L3 L0_CADOUT_L3 AA3 HT_CADOUT3_N (8) TO DOWNSTREAM HT DEVICE, BUT CONNECTED INTERNALLY
(8) HT_CADIN2_P G3 L0_CADIN_H2 L0_CADOUT_H2 AB1 HT_CADOUT2_P (8) TO OTHER HT POWER PINS
(8) HT_CADIN2_N G2 L0_CADIN_L2 L0_CADOUT_L2 AA1 HT_CADOUT2_N (8) PLACE CLOSE TO VLDT0 POWER PINS
(8) HT_CADIN1_P E1 L0_CADIN_H1 L0_CADOUT_H1 AC2 HT_CADOUT1_P (8)
(8) HT_CADIN1_N F1 L0_CADIN_L1 L0_CADOUT_L1 AC3 HT_CADOUT1_N (8)
B
(8) HT_CADIN0_P E3 L0_CADIN_H0 L0_CADOUT_H0 AD1 HT_CADOUT0_P (8) B
(8) HT_CADIN0_N E2 L0_CADIN_L0 L0_CADOUT_L0 AC1 HT_CADOUT0_N (8)

(8) HT_CLKIN1_P J5 L0_CLKIN_H1 L0_CLKOUT_H1 Y4 HT_CLKOUT1_P (8)


(8) HT_CLKIN1_N K5 L0_CLKIN_L1 L0_CLKOUT_L1 Y3 HT_CLKOUT1_N (8)
(8) HT_CLKIN0_P J3 L0_CLKIN_H0 L0_CLKOUT_H0 Y1 HT_CLKOUT0_P (8)
VLDT_RUN J2 W1
(8) HT_CLKIN0_N L0_CLKIN_L0 L0_CLKOUT_L0 HT_CLKOUT0_N (8)

R22 49.9/F_4 HT_CTLIN1_P P3 T5 HT_CPU_CTLOUT1_P


L0_CTLIN_H1 L0_CTLOUT_H1 T7
HT_CTLIN1_N P4 R5 HT_CPU_CTLOUT1_N
49.9/F_4 L0_CTLIN_L1 L0_CTLOUT_L1 T11
R23
(8) HT_CTLIN0_P N1 L0_CTLIN_H0 L0_CTLOUT_H0 R2 HT_CTLOUT0_P (8)
(8) HT_CTLIN0_N P1 L0_CTLIN_L0 L0_CTLOUT_L0 R3 HT_CTLOUT0_N (8)
Athlon 64 S1
Processor Socket

A A

PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
ATHLON64 HT I/F 1A
Date: Friday, September 08, 2006 Sheet 3 of 31
5 4 3 2 1
A B C D E

VDD_VTT_SUS_CPU IS CONNECTED TO THE VDD_VTT_SUS POWER


+1.8VSUS
Processor DDR2 Memory Interface
SUPPLY THROUGH THE PACKAGE OR ON THE DIE. IT IS ONLY CONNECTED
ON THE BOARD TO DECOUPLING NEAR THE CPU PACKAGE
R268 M_B_DQ[0..63] U16C M_A_DQ[0..63]
(7) M_B_DQ[0..63] M_A_DQ[0..63] (7)
M_B_DQ63 AD11 AA12 M_A_DQ63
1K/F_4 M_B_DQ62 MB_DATA63 MA_DATA63 M_A_DQ62
AF11 MB_DATA62 MA_DATA62 AB12
M_B_DQ61 AF14 AA14 M_A_DQ61
M_B_DQ60 MB_DATA61 MA_DATA61 M_A_DQ60
AE14 MB_DATA60 MA_DATA60 AB14
CPU_M_VREF M_B_DQ59 Y11 W11 M_A_DQ59
M_B_DQ58 MB_DATA59 MA_DATA59 M_A_DQ58
4 AB11 MB_DATA58 MA_DATA58 Y12 4
M_B_DQ57 AC12 AD13 M_A_DQ57
M_B_DQ56 MB_DATA57 MA_DATA57 M_A_DQ56
AF13 MB_DATA56 MA_DATA56 AB13
C448 C447 R269 M_B_DQ55 AF15 AD15 M_A_DQ55
.1U_4 1000p/50V_4 M_B_DQ54 MB_DATA55 MA_DATA55 M_A_DQ54
1K/F_4 AF16 MB_DATA54 MA_DATA54 AB15
M_B_DQ53 AC18 AB17 M_A_DQ53
M_B_DQ52 MB_DATA53 MA_DATA53 M_A_DQ52
AF19 MB_DATA52 MA_DATA52 Y17
M_B_DQ51 AD14 Y14 M_A_DQ51
+1.8VSUS M_B_DQ50 MB_DATA51 MA_DATA51 M_A_DQ50
AC14 MB_DATA50 MA_DATA50 W14
+0.9V_VTER M_B_DQ49 AE18 W16 M_A_DQ49
M_B_DQ48 MB_DATA49 MA_DATA49 M_A_DQ48
AD18 MB_DATA48 MA_DATA48 AD17
1

U16B M_B_DQ47 AD20 Y18 M_A_DQ47


R274 M_B_DQ46 MB_DATA47 MA_DATA47 M_A_DQ46
AC20 MB_DATA46 MA_DATA46 AD19
W17 D10 M_B_DQ45 AF23 AD21 M_A_DQ45
39.2F_4 MEMVREF VTT1 M_B_DQ44 MB_DATA45 MA_DATA45 M_A_DQ44
VTT2 C10 AF24 MB_DATA44 MA_DATA44 AB21
T3 VTT_SENSE Y10 B10 M_B_DQ43 AF20 AB18 M_A_DQ43
VTT_SENSE VTT3 MB_DATA43 MA_DATA43
2

AD10 M_B_DQ42 AE20 AA18 M_A_DQ42


VTT4 M_B_DQ41 MB_DATA42 MA_DATA42 M_A_DQ41
VTT5 W10 AD22 MB_DATA41 MA_DATA41 AA20
M_ZN AE10 AC10 M_B_DQ40 AC22 Y20 M_A_DQ40
M_ZP MEMZN VTT6 M_B_DQ39 MB_DATA40 MA_DATA40 M_A_DQ39
AF10 MEMZP VTT7 AB10 AE25 MB_DATA39 MA_DATA39 AA22
AA10 M_B_DQ38 AD26 Y22 M_A_DQ38
VTT8 M_B_DQ37 MB_DATA38 MA_DATA38 M_A_DQ37
VTT9 A10 AA25 MB_DATA37 MA_DATA37 W21
1

M_B_DQ36 AA26 W22 M_A_DQ36


R273 M_B_DQ35 MB_DATA36 MA_DATA36 M_A_DQ35
(7) M_A_CS#3 V19 MA0_CS_L3 MA0_CLK_H2 Y16 M_CLKOUT1 (7) AE24 MB_DATA35 MA_DATA35 AA21
J22 AA16 M_B_DQ34 AD24 AB22 M_A_DQ34
(7) M_A_CS#2 MA0_CS_L2 MA0_CLK_L2 M_CLKOUT1# (7) MB_DATA34 MA_DATA34
39.2F_4 V22 E16 M_B_DQ33 AA23 AB24 M_A_DQ33
(7) M_A_CS#1 MA0_CS_L1 MA0_CLK_H1 M_CLKOUT0 (7) MB_DATA33 MA_DATA33
T19 F16 M_B_DQ32 AA24 Y24 M_A_DQ32
(7) M_A_CS#0 MA0_CS_L0 MA0_CLK_L1 M_CLKOUT0# (7) MB_DATA32 MA_DATA32
2

M_B_DQ31 G24 H22 M_A_DQ31


M_B_DQ30 MB_DATA31 MA_DATA31 M_A_DQ30
(7) M_B_CS#3 Y26 MB0_CS_L3 MB0_CLK_H2 AF18 M_CLKOUT4 (7) G23 MB_DATA30 MA_DATA30 H20
J24 AF17 M_B_DQ29 D26 E22 M_A_DQ29
(7) M_B_CS#2 MB0_CS_L2 MB0_CLK_L2 M_CLKOUT4# (7) MB_DATA29 MA_DATA29

To SODIMM socket A (near)


W24 A17 M_B_DQ28 C26 E21 M_A_DQ28
(7) M_B_CS#1 MB0_CS_L1 MB0_CLK_H1 M_CLKOUT3 (7) MB_DATA28 MA_DATA28
M_B_DQ27 M_A_DQ27

To SODIMM socket B (Far)


(7) M_B_CS#0 U23 MB0_CS_L0 MB0_CLK_L1 A18 M_CLKOUT3# (7) G26 MB_DATA27 MA_DATA27 J19
M_B_DQ26 G25 H24 M_A_DQ26
M_B_DQ25 MB_DATA26 MA_DATA26 M_A_DQ25
(7) M_CKE3 H26 MB_CKE1 MB0_ODT1 W23 M_ODT3 (7) E24 MB_DATA25 MA_DATA25 F22
J23 W26 M_B_DQ24 E23 F20 M_A_DQ24
(7) M_CKE2 MB_CKE0 MB0_ODT0 M_ODT2 (7) MB_DATA24 MA_DATA24
3 PLACE THEM CLOSE TO J20 V20 M_B_DQ23 C24 C23 M_A_DQ23 3
(7) M_CKE1 MA_CKE1 MA0_ODT1 M_ODT1 (7) MB_DATA23 MA_DATA23
J21 U19 M_B_DQ22 B24 B22 M_A_DQ22
CPU WITHIN 1" (7) M_CKE0 MA_CKE0 MA0_ODT0 M_ODT0 (7) MB_DATA22 MA_DATA22
M_B_DQ21 C20 F18 M_A_DQ21
(7) M_A_A[0..15] M_B_A[0..15] (7) MB_DATA21 MA_DATA21
M_A_A15 K19 J25 M_B_A15 M_B_DQ20 B20 E18 M_A_DQ20
M_A_A14 MA_ADD15 MB_ADD15 M_B_A14 M_B_DQ19 MB_DATA20 MA_DATA20 M_A_DQ19
K20 MA_ADD14 MB_ADD14 J26 C25 MB_DATA19 MA_DATA19 E20
M_A_A13 V24 W25 M_B_A13 M_B_DQ18 D24 D22 M_A_DQ18
M_A_A12 MA_ADD13 MB_ADD13 M_B_A12 M_B_DQ17 MB_DATA18 MA_DATA18 M_A_DQ17
K24 MA_ADD12 MB_ADD12 L23 A21 MB_DATA17 MA_DATA17 C19
M_A_A11 L20 L25 M_B_A11 M_B_DQ16 D20 G18 M_A_DQ16
M_A_A10 MA_ADD11 MB_ADD11 M_B_A10 M_B_DQ15 MB_DATA16 MA_DATA16 M_A_DQ15
R19 MA_ADD10 MB_ADD10 U25 D18 MB_DATA15 MA_DATA15 G17
M_A_A9 L19 L24 M_B_A9 M_B_DQ14 C18 C17 M_A_DQ14
M_A_A8 MA_ADD9 MB_ADD9 M_B_A8 M_B_DQ13 MB_DATA14 MA_DATA14 M_A_DQ13
L22 MA_ADD8 MB_ADD8 M26 D14 MB_DATA13 MA_DATA13 F14
M_A_A7 L21 L26 M_B_A7 M_B_DQ12 C14 E14 M_A_DQ12
M_A_A6 MA_ADD7 MB_ADD7 M_B_A6 M_B_DQ11 MB_DATA12 MA_DATA12 M_A_DQ11
M19 MA_ADD6 MB_ADD6 N23 A20 MB_DATA11 MA_DATA11 H17
M_A_A5 M20 N24 M_B_A5 M_B_DQ10 A19 E17 M_A_DQ10
M_A_A4 MA_ADD5 MB_ADD5 M_B_A4 M_B_DQ9 MB_DATA10 MA_DATA10 M_A_DQ9
M24 MA_ADD4 MB_ADD4 N25 A16 MB_DATA9 MA_DATA9 E15
M_A_A3 M22 N26 M_B_A3 M_B_DQ8 A15 H15 M_A_DQ8
M_A_A2 MA_ADD3 MB_ADD3 M_B_A2 M_B_DQ7 MB_DATA8 MA_DATA8 M_A_DQ7
N22 MA_ADD2 MB_ADD2 P24 A13 MB_DATA7 MA_DATA7 E13
M_A_A1 N21 P26 M_B_A1 M_B_DQ6 D12 C13 M_A_DQ6
M_A_A0 MA_ADD1 MB_ADD1 M_B_A0 M_B_DQ5 MB_DATA6 MA_DATA6 M_A_DQ5
R21 MA_ADD0 MB_ADD0 T24 E11 MB_DATA5 MA_DATA5 H12
M_B_DQ4 G11 H11 M_A_DQ4
M_B_DQ3 MB_DATA4 MA_DATA4 M_A_DQ3
(7) M_A_BS#2 K22 MA_BANK2 MB_BANK2 K26 M_B_BS#2 (7) B14 MB_DATA3 MA_DATA3 G14
R20 T26 M_B_BS#1 (7) M_B_DQ2 A14 H14 M_A_DQ2
(7) M_A_BS#1 MA_BANK1 MB_BANK1 MB_DATA2 MA_DATA2
T22 U26 M_B_BS#0 (7) M_B_DQ1 A11 F12 M_A_DQ1
(7) M_A_BS#0 MA_BANK0 MB_BANK0 MB_DATA1 MA_DATA1
M_B_DQ0 C11 G12 M_A_DQ0
M_B_DM[0..7] MB_DATA0 MA_DATA0 M_A_DM[0..7]
(7) M_A_RAS# T20 MA_RAS_L MB_RAS_L U24 M_B_RAS# (7) (7) M_B_DM[0..7] M_A_DM[0..7] (7)
U20 V26 M_B_DM7 AD12 Y13 M_A_DM7
(7) M_A_CAS# MA_CAS_L MB_CAS_L M_B_CAS# (7) MB_DM7 MA_DM7
U21 U22 M_B_DM6 AC16 AB16 M_A_DM6
(7) M_A_WE# MA_WE_L MB_WE_L M_B_WE# (7) MB_DM6 MA_DM6
M_B_DM5 AE22 Y19 M_A_DM5
M_B_DM4 MB_DM5 MA_DM5 M_A_DM4
AB26 MB_DM4 MA_DM4 AC24
DDR II: CMD/CTRL/CLK M_B_DM3 E25 F24 M_A_DM3
M_B_DM2 MB_DM3 MA_DM3 M_A_DM2
A22 MB_DM2 MA_DM2 E19
Athlon 64 S1 M_B_DM1 B16 C15 M_A_DM1
M_B_DM0 MB_DM1 MA_DM1 M_A_DM0
Processor Socket A12 MB_DM0 MA_DM0 E12

M_B_DQS7 AF12 W12 M_A_DQS7


M_B_DQS#7 MB_DQS_H7 MA_DQS_H7 M_A_DQS#7
2 AE12 MB_DQS_L7 MA_DQS_L7 W13 2
M_B_DQS6 AE16 Y15 M_A_DQS6
M_B_DQS#6 MB_DQS_H6 MA_DQS_H6 M_A_DQS#6
AD16 MB_DQS_L6 MA_DQS_L6 W15
M_B_DQS5 AF21 AB19 M_A_DQS5
M_B_DQS#5 MB_DQS_H5 MA_DQS_H5 M_A_DQS#5
AF22 MB_DQS_L5 MA_DQS_L5 AB20
M_B_DQS4 AC25 AD23 M_A_DQS4
M_B_DQS#4 MB_DQS_H4 MA_DQS_H4 M_A_DQS#4
AC26 MB_DQS_L4 MA_DQS_L4 AC23
M_B_DQS3 F26 G22 M_A_DQS3
M_B_DQS#3 MB_DQS_H3 MA_DQS_H3 M_A_DQS#3
E26 MB_DQS_L3 MA_DQS_L3 G21
M_B_DQS2 A24 C22 M_A_DQS2
M_B_DQS#2 MB_DQS_H2 MA_DQS_H2 M_A_DQS#2
A23 MB_DQS_L2 MA_DQS_L2 C21
M_B_DQS1 D16 G16 M_A_DQS1
M_B_DQS#1 MB_DQS_H1 MA_DQS_H1 M_A_DQS#1
C16 MB_DQS_L1 MA_DQS_L1 G15
+0.9V_VTER M_B_DQS0 C12 G13 M_A_DQS0
M_B_DQS#0 MB_DQS_H0 MA_DQS_H0 M_A_DQS#0
B12 MB_DQS_L0 MA_DQS_L0 H13

C5 C151 C7 C6 C136 C18 C16 C143 DDR: DATA


4.7U/6.3V_6 4.7U/6.3V_6 4.7U/6.3V_6 4.7U/6.3V_6 .22U/6V_4 .22U/6V_4 .22U/6V_4 .22U/6V_4 Athlon 64 S1
(7) M_B_DQS[0..7] M_A_DQS[0..7] (7)
M_B_DQS0 M_A_DQS0
M_B_DQS1
Processor Socket M_A_DQS1
M_B_DQS2 M_A_DQS2
M_B_DQS3 M_A_DQS3
M_B_DQS4 M_A_DQS4
M_B_DQS5 M_A_DQS5
M_B_DQS6 M_A_DQS6
M_B_DQS7 M_A_DQS7

+0.9V_VTER
(7) M_B_DQS#[0..7] M_A_DQS#[0..7] (7)
M_B_DQS#0 M_A_DQS#0
M_B_DQS#1 M_A_DQS#1
C13 C150 C145 C142 C9 C146 C12 C42 M_B_DQS#2 M_A_DQS#2
M_B_DQS#3 M_A_DQS#3
1000p/50V_4 1000p/50V_4 1000p/50V_4 1000p/50V_4 180P_4 180P_4 180P_4 180P_4 M_B_DQS#4 M_A_DQS#4
1 M_B_DQS#5 M_A_DQS#5 1
M_B_DQS#6 M_A_DQS#6
M_B_DQS#7 M_A_DQS#7

PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
ATHLON64 DDRII MEMORY I/F 1A
Date: Friday, September 08, 2006 Sheet 4 of 31
A B C D E
5 4 3 2 1

LAYOUT: ROUTE VDDA TRACE APPROX.


50 mils WIDE (USE 2x25 mil TRACES TO
EXIT BALL FIELD) AND 500 mils LONG.
ATHLON Control and Debug
CPU_VDDA_RUN CPU_VDDA_RUN

CPU_VDDA_RUN
U16D
H_THERMTRIP#
+1.8VSUS

F8 VDDA2 THERMTRIP_L AF6


CPU_VDDA_RUN +2.5V If AMD SI is not used, the SID pin can be left unconnected and SIC F9 AC7 H_PROCHOT#
L49 VDDA1 PROCHOT_L R41
should have a 300-Ω (±5%) pulldown to VSS.
CPU_HT_RESET# B7
BLM18PG330SN1D R278 *300_4 CPU_ALL_PWROK RESET_L 300_4
+1.8V A7 PWROK
R267 *300_4 CPU_LDTSTOP# F10
D C452 C93 C92 LDTSTOP_L D
VID5 A5 VID5 (28)
C458 R266 300_4 CPU_SIC_R AF4 C6
SIC VID4 VID4 (28)
4.7U/6.3V_6 .22U/6V_4 3900p/25V_4 100U/6.3V_3528 CPU_SID_R AF5 A6
SID VID3 VID3 (28)
Place them to CPU within 1" VID2 A4 VID2 (28)
VLDT_RUN R21 44.2F_4 CPU_HTREF1 P6 C5
HT_REF1 VID1 VID1 (28)
CPU_HTREF0 R6 B5
HT_REF0 VID0 VID0 (28)
R17 44.2F_4
AC6 CPU_PRESENT#
CPU_PRESENT_L
(28) COREFB+V F6 VDD_FB_H
To Power (28) COREFB- E6 VDD_FB_L PSI_L A3 PSI_L
+1.8V +3V
T9 CPU_VDDIO_SUS_FB_H W9
+1.8VSUS CPU_VDDIO_SUS_FB_L VDDIO_FB_H PSI_L is a Power Status Indicator signal. This signal is asserted
T4 Y9 VDDIO_FB_L
when the processor is in a low powerstate. PSI_L should be
R287 R288 C455 3900p/25V_4 CPU_CLKIN_SC_P
(12) CPUCLK A9 CLKIN_H connected to the power supply controller, if the controller supports
C454 .1U_4 CPU_CLKIN_SC_N A8 “skipmode, or diode emulation mode”. PSI_L is asserted by the
300_4 4.7K/F_4 R282 CLKIN_L
processor during the C3 and S1 states.
5

169/F_6
(13,14) CPU_PWRGD 1
CPU_ALL_PWROK (12) CPUCLK# C456 3900p/25V_4 CPU_DBRDY
4 T17 G10 DBRDY
2
R262 220_4 CPU_TMS AA9 E10 CPU_DBREQ# R40 220_4 +1.8VSUS
U17 R261 220_4 CPU_TCK TMS DBREQ_L
+1.8VSUS AC9 TCK
3

NC7SZ08P5X_NL R260 220_4 CPU_TRST# AD9


R275 220_4 CPU_TDI TRST_L CPU_TDO
AF9 TDI TDO AE9 T2
+1.8V

+1.8VSUS C9 CPU_TEST29_H_FBCLKOUT_P R33 80.6F_4


CPU_TEST25_H_BYPASSCLK_H TEST29_H CPU_TEST29_L_FBCLKOUT_N
E9 TEST25_H TEST29_L C8
R46 CPU_TEST25_L_BYPASSCLK_L E8 ROUTE AS 80 Ohm DIFFERENTIAL PAIR
C153 .1U_4 CPU_TEST19_PLLTEST0 TEST25_L
G9 TEST19 PLACE IT CLOSE TO CPU WITHIN 1"
300_4 CPU_TEST18_PLLTEST1 H10
C TEST18 C
5

AA7 TEST13
1 C2 AE7 CPU_TEST24_SCANCLK1
(10,13,14) LDT_STOP# TEST9 TEST24
4 CPU_LDTSTOP# T23 CPU_TEST17_BP3 D7 AD7 CPU_TEST23_TSTUPD
TEST17 TEST23 T78
2 T21 CPU_TEST16_BP2 E7 AE8 CPU_TEST22_SCANSHIFTEN
CPU_TEST15_BP1 TEST16 TEST22 CPU_TEST21_SCANEN
F7 TEST15 TEST21 AB8
U2 CPU_TEST14_BP0 C7 AF7 CPU_TEST20_SCANCLK2
TEST14 TEST20
3

NC7SZ08P5X_NL CPU_TEST12_SCANSHIFTENB AC8 TEST12


TEST28_H J7
C3 TEST7 TEST28_L H8
+1.8V AA6 AF8 CPU_TEST27_SINGLECHAIN
CPU_TEST5_THERMDC TEST6 TEST27 CPU_TEST26_BURNIN#
W7 TEST5 TEST26 AE6
+1.8VSUS +1.8VSUS +3V CPU_TEST4_THERMDA W8 K8
TEST4 TEST10
Y6 TEST3 TEST8 C4
R286 AB6
C453 .1U_4 TEST2
300_4 R280 R281
5

1 10K_4 10K_4
(13) LDT_RST#
4 CPU_HT_RESET# P20 H16
R284 0_4 RSVD0 RSVD8
(13,14,25) EC_PWRGD 2 P19 RSVD1 RSVD9 B18
Q20 N20 RSVD2
(10,25) NB_PWRGD
R285 *0_4 U18 2 MMBT3904 N19 RSVD3 RSVD10 B3
3

NC7SZ08P5X_NL C1
PSI_L PSI# RSVD11
1 3 PSI# (28)
RSVD12 H6
RSVD13 G6
RSVD14 D5
MISC
RSVD15 R24
RSVD16 W18
R26 RSVD4 RSVD17 R23
separated input voltage R25 RSVD5 RSVD18 AA8
+1.8V P22 H18
RSVD6 RSVD19
B R22 RSVD7 RSVD20 H19 B
R15 4.7K/F_4 EC_PWRGD

R18
+1.8VSUS 330_4
AMD NPT S1 SOCKET
R14 Processor Socket
Q4
2

4.7K/F_4 R19 MMBT3904

300_4 3 1 +1.8VSUS
CPU_EC_PROCHOT# (25)
Q3
2

+1.8VSUS MMBT3904

R16 300_4 H_THERMTRIP# 1 3 H_PROCHOT# R20 *0_4


THERM_SYS_PWR (30) CPU_PROCHOT# (14)
CPU_TEST27_SINGLECHAIN R276 *300_4
CPU_TEST26_BURNIN# R272 300_4
CPU_PRESENT# R265 1K/F_4
CPU_TEST25_H_BYPASSCLK_H R39 510/F_4
+3V CPU_TEST21_SCANEN
CPU H/W MONITOR
R264 300_4
CPU_TEST20_SCANCLK2 R271 300_4
CPU_TEST24_SCANCLK1 R270 300_4
R11 CPU_TEST22_SCANSHIFTEN R277 300_4
CPU_TEST12_SCANSHIFTENB R263 300_4
10K_4 CPU_TEST15_BP1 R25 300_4
+3V CPU_TEST14_BP0 R34 300_4
+3V
15 MIL MBDATA_CPU (25)
CPU_TEST25_L_BYPASSCLK_L R35 510/F_4
CPU_TEST19_PLLTEST0 R28 300_4
R13 47/F_6 3V_THM R279 CPU_TEST18_PLLTEST1 R27 300_4
*10K_4 +3V
To SB GPIO
C8
.1U_4 Address 98H IF no use which Net
MAX6648_AL# (14)
A
U1 G784
R10 need pull-up or down A

1 6 10K_4
CPU_TEST5_THERMDC VCC -ALT KBSMDAT
3 DXN SMDATA 7
2 8 KBSMCLK
DXP SMCLK MBCLK_CPU (25)
4 -OVT GND 5
C15 +3V
2200P/50V_4
CPU_TEST4_THERMDA PROJECT : ZR3
10 mil trace / Quanta Computer Inc.
R12
10 mil space 10K_4
To FAN MAX6648_OV# (26) SMBus SLAVE ADDRESS: 98h Size Document Number Rev
ATHLON64 CTRL & DEBUG 1A

Date: Friday, September 08, 2006 Sheet 5 of 31


5 4 3 2 1
5 4 3 2 1

VCC_CORE VCC_CORE
U16E U16F
AC4
AD2
VDD1 VDD43 V12
V14
AA4
AA11
VSS1 VSS66 J6
J8
BOTTOMSIDE DECOUPLING
VDD2 VDD44 VSS2 VSS67
D G4 VDD3 VDD45 W4 AA13 VSS3 VSS68 J10 D
H2 Y2 AA15 J12 VCC_CORE
VDD4 VDD46 VSS4 VSS69
J9 VDD5 VDD47 J15 AA17 VSS5 VSS70 J14
J11 VDD6 VDD48 K16 AA19 VSS6 VSS71 J16
J13 VDD7 VDD49 L15 AB2 VSS7 VSS72 J18
K6 M16 AB7 K2 C79 C78 C445 C446 C77 C51 C62 C63 C80
VDD8 VDD50 VSS8 VSS73
K10 VDD9 VDD51 P16 AB9 VSS9 VSS74 K7
K12 T16 AB23 K9 22U/10V_8 22U/10V_8 22U/10V_8 22U/10V_8 22U/10V_8 22U/10V_8 22U/10V_8 22U/10V_8 22U/10V_8
VDD10 VDD52 VSS10 VSS75
K14 VDD11 VDD53 U15 AB25 VSS11 VSS76 K11
L4 VDD12 VDD54 V16 AC11 VSS12 VSS77 K13
L7 +1.8VSUS AC13 K15
VDD13 VSS13 VSS78
L9 VDD14 AC15 VSS14 VSS79 K17
L11 VDD15 VDDIO1 H25 AC17 VSS15 VSS80 L6
L13 J17 AC19 L8 VCC_CORE VCC_CORE
M2
VDD16 VDDIO2
K18 AC21
VSS16 VSS81
L10
Near ATI RS485 Chipset
VDD17 VDDIO3 VSS17 VSS82
M6 VDD18 VDDIO4 K21 AD6 VSS18 VSS83 L12
M8 VDD19 VDDIO5 K23 AD8 VSS19 VSS84 L14
M10 K25 AD25 L16 C74 C54 C89 C47 C162 C183 C115 C65 C52 C35
VDD20 VDDIO6 VSS20 VSS85
N7 VDD21 VDDIO7 L17 AE11 VSS21 VSS86 L18
N9 M18 AE13 M7 .22U/6V_4 .22U/6V_4 .01U_4 180P_4 .22U/6V_4 .22U/6V_4 .01U_4 .01U_4 .01U_4 .01U_4
VDD22 VDDIO8 VSS22 VSS87
N11 VDD23 VDDIO9 M21 AE15 VSS23 VSS88 M9
P8 VDD24 VDDIO10 M23 AE17 VSS24 VSS89 M11
P10 VDD25 VDDIO11 M25 AE19 VSS25 VSS90 M17
R4 VDD26 VDDIO12 N17 AE21 VSS26 VSS91 N4
R7 VDD27 VDDIO13 P18 AE23 VSS27 VSS92 N8
R9 VDD28 VDDIO14 P21 B4 VSS28 VSS93 N10
R11 P23 B6 N16 +1.8VSUS
VDD29 VDDIO15 VSS29 VSS94
C T2 VDD30 VDDIO16 P25 B8 VSS30 VSS95 N18 C
T6 VDD31 VDDIO17 R17 B9 VSS31 VSS96 P2
T8 VDD32 VDDIO18 T18 B11 VSS32 VSS97 P7
T10 T21 B13 P9 C56 C46 C91 C90
VDD33 VDDIO19 VSS33 VSS98
T12 VDD34 VDDIO20 T23 B15 VSS34 VSS99 P11
T14 T25 B17 P17 22U/10V_8 22U/10V_8 .22U/6V_4 .22U/6V_4
VDD35 VDDIO21 VSS35 VSS100
U7 VDD36 VDDIO22 U17 B19 VSS36 VSS101 R8
U9 VDD37 VDDIO23 V18 B21 VSS37 VSS102 R10
U11 VDD38 VDDIO24 V21 B23 VSS38 VSS103 R16
U13 VDD39 VDDIO25 V23 B25 VSS39 VSS104 R18
V6 VDD40 VDDIO26 V25 D6 VSS40 VSS105 T7
V8 VDD41 VDDIO27 Y25 D8 VSS41 VSS106 T9
V10 VDD42 D9 VSS42 VSS107 T11
D11 VSS43 VSS108 T13
POWER D13 T15

Athlon 64 S1
D15
D17
VSS44
VSS45
VSS109
VSS110 T17
U4
DECOUPLING BETWEEN PROCESSOR AND DIMMs
VSS46 VSS111
Processor Socket D19 U6
D21
D23
VSS47
VSS48
VSS112
VSS113 U8
U10
PLACE CLOSE TO PROCESSOR AS POSSIBLE
VSS49 VSS114
D25 VSS50 VSS115 U12
E4 U14 +1.8VSUS
VSS51 VSS116
F2 VSS52 VSS117 U16
F11 VSS53 VSS118 U18
F13 VSS54 VSS119 V2
F15 V7 C61 C75 C70 C84 C58 C83 C82 C45
VSS55 VSS120
F17 VSS56 VSS121 V9
B F19 V11 4.7U/6.3V_6 4.7U/6.3V_6 4.7U/6.3V_6 4.7U/6.3V_6 .22U/6V_4 .22U/6V_4 .22U/6V_4 .22U/6V_4 B
VSS57 VSS122
F21 VSS58 VSS123 V13
F23 VSS59 VSS124 V15
F25 VSS60 VSS125 V17
H7 VSS61 VSS126 W6
H9 VSS62 VSS127 Y21
H21 VSS63 VSS128 Y23
H23 N6 +1.8VSUS
VSS64 VSS129
J4 VSS65
GROUND
C59 C44 C66 C71 C103 C41 C94 C34
Athlon 64 S1
Processor Socket .01U_4 .01U_4 10P_4 180P_4 180P_4 180P_4 180P_4 180P_4

A1 A26

Athlon 64 S1g1 PROCESSOR POWER AND GROUND


uPGA638
A
Top View A

PROJECT : ZR3
AF1
Quanta Computer Inc.
Size Document Number Rev
ATHLON64 PWR & GND 1A

Date: Friday, August 04, 2006 Sheet 6 of 31


5 4 3 2 1
A B C D E

TERMINATOR DECOUPLING CAPACITOR DDR2 TERMINATOR +0.9V_VTER +0.9V_VTER

+0.9V_VTER M_A_A2 RN14 1 2 47_4P2R_S M_B_A10 RN12 1 2 47_4P2R_S


+0.9V_VTER M_A_A4 3 4 M_B_BS#0 3 4
M_A_A3 RN17 1 2 47_4P2R_S M_B_A4 RN15 1 2 47_4P2R_S
M_CKE0 R30 47_4 M_A_A5 3 4 M_B_A2 3 4
C23 C111 C27 C101 C106 C33 C102 C100 C107 C104 C108 C109 C28 C32 C29 C24 C119 M_CKE1 R32 47_4 M_A_BS#1 RN10 1 2 47_4P2R_S M_B_A5 RN16 1 2 47_4P2R_S
M_CKE2 R31 47_4 M_A_A0 3 4 M_B_A1 3 4
10U/6.3V_8 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 M_CKE3 R29 47_4 M_A_A7 RN18 1 2 47_4P2R_S M_B_A6 RN19 1 2 47_4P2R_S
M_A_A6 3 4 M_B_A0 3 4
M_A_CS#3 RN2 1 2 47_4P2R_S
M_A_A13 3 4 M_A_A1 RN13 1 2 47_4P2R_S M_B_A3 RN25 1 2 47_4P2R_S
M_A_CAS# RN1 1 2 47_4P2R_S M_A_A10 3 4 M_B_A8 3 4
M_ODT0 3 4 M_A_WE# RN9 1 2 47_4P2R_S M_B_A11 RN23 1 2 47_4P2R_S
+0.9V_VTER M_A_BS#0 3 4 M_B_A7 3 4
4 M_ODT3 RN5 1 2 47_4P2R_S M_A_A12 RN20 1 2 47_4P2R_S M_B_BS#2 RN28 1 2 47_4P2R_S 4
M_B_WE# 3 4 M_A_BS#2 3 4 M_B_CS#2 3 4
M_B_A13 RN3 1 2 47_4P2R_S M_A_A8 RN22 1 2 47_4P2R_S M_B_RAS# RN11 1 2 47_4P2R_S
M_B_CS#3 3 4 M_A_A11 3 4 M_B_BS#1 3 4
C11 C10 C118 C37 C125 C19 C53 C76 C43 C113 C64 C26 C98 C110 C87 C31
M_A_CS#1 RN4 1 2 47_4P2R_S M_A_CS#2 RN24 1 2 47_4P2R_S M_ODT2 RN7 1 2 47_4P2R_S
.1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 .1U-16V_4 M_ODT1 3 4 M_A_A9 3 4 M_B_CS#0 3 4
M_B_CAS# RN8 1 2 47_4P2R_S M_A_RAS# RN6 1 2 47_4P2R_S M_B_A12 RN21 1 2 47_4P2R_S
M_B_CS#1 3 4 M_A_CS#0 3 4 M_B_A9 3 4
M_A_A14 RN26 1 2 47_4P2R_S M_B_A15 RN27 1 2 47_4P2R_S
+1.8VSUS M_A_A15 3 4 M_B_A14 3 4

+1.8VSUS +1.8VSUS

103
104
111
112
117
118

103
104
111
112
117
118
81
82
87
88
95
96

81
82
87
88
95
96
(4) M_A_A[0..15] M_A_DQ[0..63] (4) (4) M_B_A[0..15] M_B_DQ[0..63] (4)
M_A_A0 102 5 M_A_DQ1 M_B_A0 102 5 M_B_DQ4
VDD0
VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11

VDD0
VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
M_A_A1 A0 DQ0 M_A_DQ0 M_B_A1 A0 DQ0 M_B_DQ1
101 A1 DQ1 7 101 A1 DQ1 7
M_A_A2 100 17 M_A_DQ2 M_B_A2 100 17 M_B_DQ7
M_A_A3 A2 DQ2 M_A_DQ3 M_B_A3 A2 DQ2 M_B_DQ3
99 A3 DQ3 19 99 A3 DQ3 19
M_A_A4 98 4 M_A_DQ4 M_B_A4 98 4 M_B_DQ5
M_A_A5 A4 DQ4 M_A_DQ5 M_B_A5 A4 DQ4 M_B_DQ0
97 A5 DQ5 6 97 A5 DQ5 6
M_A_A6 94 14 M_A_DQ7 M_B_A6 94 14 M_B_DQ6
M_A_A7 A6 DQ6 M_A_DQ6 M_B_A7 A6 DQ6 M_B_DQ2
92 16 92 16
M_A_A8 93
A7 CN11 DQ7
23 M_A_DQ12 M_B_A8 93
A7 CN10 DQ7
23 M_B_DQ8
M_A_A9 A8 DQ8 M_A_DQ8 M_B_A9 A8 DQ8 M_B_DQ9
91 25 91 25
M_A_A10 105
A9 REVERSE DQ9
35 M_A_DQ10 M_B_A10 105
A9 REVERSE DQ9
35 M_B_DQ15
M_A_A11 A10 DQ10 M_A_DQ11 M_B_A11 A10 DQ10 M_B_DQ11
90 A11 DQ11 37 90 A11 DQ11 37
M_A_A12 89 20 M_A_DQ9 M_B_A12 89 20 M_B_DQ13
M_A_A13 A12 DQ12 M_A_DQ13 M_B_A13 A12 DQ12 M_B_DQ12
116 A13 DQ13 22 116 A13 DQ13 22
3 M_A_A14 86 36 M_A_DQ15 M_B_A14 86 36 M_B_DQ14 3
M_A_A15 A14 DQ14 M_A_DQ14 M_B_A15 A14 DQ14 M_B_DQ10 +1.8VSUS
84 A15 DQ15 38 84 A15 DQ15 38
43 M_A_DQ17 43 M_B_DQ16
DQ16 M_A_DQ21 DQ16 M_B_DQ17
(4) M_A_BS#0 107 BA0 DQ17 45 (4) M_B_BS#0 107 BA0 DQ17 45
106 55 M_A_DQ23 106 55 M_B_DQ18
(4) M_A_BS#1 BA1 DQ18 (4) M_B_BS#1 BA1 DQ18
85 57 M_A_DQ18 85 57 M_B_DQ19 C22 *10U/6.3V/X5R_8
(4) M_A_BS#2 BA2 DQ19 (4) M_B_BS#2 BA2 DQ19
44 M_A_DQ20 44 M_B_DQ20
M_A_DM0 DQ20 M_A_DQ19 M_B_DM0 DQ20 M_B_DQ21 C25 *10U/6.3V/X5R_8
10 DM0 DQ21 46 10 DM0 DQ21 46
M_A_DM1 26 56 M_A_DQ22 M_B_DM1 26 56 M_B_DQ22
M_A_DM2 DM1 DQ22 M_A_DQ16 M_B_DM2 DM1 DQ22 M_B_DQ23 C20 10U/10V/X5R_8
52 DM2 DQ23 58 52 DM2 DQ23 58
M_A_DM3 67 61 M_A_DQ29 M_B_DM3 67 61 M_B_DQ25
M_A_DM4 DM3 DQ24 M_A_DQ28 M_B_DM4 DM3 DQ24 M_B_DQ29 C114 10U/10V/X5R_8
130 DM4 DQ25 63 130 DM4 DQ25 63
M_A_DM5 147 73 M_A_DQ31 M_B_DM5 147 73 M_B_DQ27
M_A_DM6 DM5 DQ26 M_A_DQ30 M_B_DM6 DM5 DQ26 M_B_DQ26
170 DM6 DQ27 75 170 DM6 DQ27 75
M_A_DM7 185 62 M_A_DQ24 M_B_DM7 185 62 M_B_DQ28 C85 .1U_4
(4) M_A_DM[0..7] DM7 DQ28 (4) M_B_DM[0..7] DM7 DQ28
64 M_A_DQ25 64 M_B_DQ24
M_A_DQS0 DQ29 M_A_DQ26 M_B_DQS0 DQ29 M_B_DQ30 C95 .1U_4
13 DQS0 DQ30 74 13 DQS0 DQ30 74
M_A_DQS1 31 76 M_A_DQ27 M_B_DQS1 31 76 M_B_DQ31
M_A_DQS2 DQS1 DQ31 M_A_DQ32 M_B_DQS2 DQS1 DQ31 M_B_DQ32 C40 .1U_4
51 DQS2 DQ32 123 51 DQS2 DQ32 123
M_A_DQS3 70 125 M_A_DQ36 M_B_DQS3 70 125 M_B_DQ36
M_A_DQS4 DQS3 DQ33 M_A_DQ37 M_B_DQS4 DQS3 DQ33 M_B_DQ34 C50 .1U_4
131 DQS4 DQ34 135 131 DQS4 DQ34 135
M_A_DQS5 148 137 M_A_DQ35 M_B_DQS5 148 137 M_B_DQ38
M_A_DQS6 DQS5 DQ35 M_A_DQ33 M_B_DQS6 DQS5 DQ35 M_B_DQ33 C88 .1U_4
169 DQS6 DQ36 124 169 DQS6 DQ36 124
M_A_DQS7 188 126 M_A_DQ38 M_B_DQS7 188 126 M_B_DQ37
(4) M_A_DQS[0..7] DQS7 DQ37 (4) M_B_DQS[0..7] DQS7 DQ37
134 M_A_DQ34 134 M_B_DQ39 C36 .1U_4
M_A_DQS#0 11 DQ38 M_A_DQ39 M_B_DQS#0 11 DQ38 M_B_DQ35
DQS0 DQ39 136 DQS0 DQ39 136
M_A_DQS#1 29 141 M_A_DQ40 M_B_DQS#1 29 141 M_B_DQ41 C38 .1U_4
M_A_DQS#2 49 DQS1 DQ40 M_A_DQ41 M_B_DQS#2 49 DQS1 DQ40 M_B_DQ40
DQS2 DQ41 143 DQS2 DQ41 143
M_A_DQS#3 68 151 M_A_DQ42 M_B_DQS#3 68 151 M_B_DQ42 C73 .1U_4
M_A_DQS#4 129 DQS3 DQ42 M_A_DQ46 M_B_DQS#4 129 DQS3 DQ42 M_B_DQ43
DQS4 DQ43 153 DQS4 DQ43 153
M_A_DQS#5 146 140 M_A_DQ44 M_B_DQS#5 146 140 M_B_DQ45 C49 .1U_4
M_A_DQS#6 167 DQS5 DQ44 M_A_DQ45 M_B_DQS#6 167 DQS5 DQ44 M_B_DQ44
DQS6 DQ45 142 DQS6 DQ45 142
M_A_DQS#7 186 152 M_A_DQ43 M_B_DQS#7 186 152 M_B_DQ46 C48 .1U_4
(4) M_A_DQS#[0..7] DQS7 DQ46 (4) M_B_DQS#[0..7] DQS7 DQ46
154 M_A_DQ47 154 M_B_DQ47
DQ47 M_A_DQ49 DQ47 M_B_DQ48 C39 .1U_4
DQ48 157 DQ48 157
M_CLKOUT0 30 159 M_A_DQ52 M_CLKOUT3 30 159 M_B_DQ49
(4) M_CLKOUT0 CK0 DQ49 (4) M_CLKOUT3 CK0 DQ49
M_CLKOUT0# 32 173 M_A_DQ50 M_CLKOUT3# 32 173 M_B_DQ50 C81 .1U_4
2 (4) M_CLKOUT0# CK0 DQ50 (4) M_CLKOUT3# CK0 DQ50 2
M_CLKOUT1 164 175 M_A_DQ51 M_CLKOUT4 164 175 M_B_DQ51
(4) M_CLKOUT1 CK1 DQ51 (4) M_CLKOUT4 CK1 DQ51
M_CLKOUT1# 166 158 M_A_DQ53 M_CLKOUT4# 166 158 M_B_DQ52 C69 .1U_4
(4) M_CLKOUT1# CK1 DQ52 (4) M_CLKOUT4# CK1 DQ52
160 M_A_DQ48 160 M_B_DQ53
DQ53 M_A_DQ55 DQ53 M_B_DQ55 C68 .1U_4
(4) M_CKE0 79 CKE0 DQ54 174 (4) M_CKE2 79 CKE0 DQ54 174
80 176 M_A_DQ54 80 176 M_B_DQ54
(4) M_CKE1 CKE1 DQ55 (4) M_CKE3 CKE1 DQ55
179 M_A_DQ60 179 M_B_DQ61 C55 .1U_4
DQ56 M_A_DQ56 DQ56 M_B_DQ57
(4) M_A_RAS# 108 RAS DQ57 181 (4) M_B_RAS# 108 RAS DQ57 181
113 189 M_A_DQ58 113 189 M_B_DQ58 C57 .1U_4
(4) M_A_CAS# CAS DQ58 (4) M_B_CAS# CAS DQ58
109 191 M_A_DQ63 109 191 M_B_DQ62
(4) M_A_WE# WE DQ59 (4) M_B_WE# WE DQ59
110 180 M_A_DQ57 110 180 M_B_DQ56 C60 .1U_4
SO-DIMM

SO-DIMM
(4) M_A_CS#0 S0 DQ60 (4) M_B_CS#0 S0 DQ60
115 182 M_A_DQ61 115 182 M_B_DQ60
(4) M_A_CS#1 S1 DQ61 (4) M_B_CS#1 S1 DQ61
192 M_A_DQ59 192 M_B_DQ59 C30 .1U_4
DQ62 M_A_DQ62 DQ62 M_B_DQ63
(4) M_ODT0 114 ODT0 DQ63 194 (4) M_ODT2 114 ODT0 DQ63 194
119 119 C72 .1U_4
(4) M_ODT1 ODT1 (4) M_ODT3 ODT1
NC1 50 NC1 50
198 69 198 69 C67 .1U_4
SA0 NC2 R9 10K_4 SA0 NC2
200 SA1 NC3 83 M_A_CS#2 (4) +3V 200 SA1 NC3 83 M_B_CS#2 (4)
NC4 120 M_A_CS#3 (4) NC4 120 M_B_CS#3 (4)
195 163 PDAT_SMB 195 163
(12,14,20) PDAT_SMB SDA NC/TEST SDA NC/TEST
197 PCLK_SMB 197
(12,14,20) PCLK_SMB SCL SCL
C4 .1U_4 199 C3 .1U_4 199
+3V VDDspd +3V VDDspd
C167 .1U_4 MVREF_DIM 1 196 C163 .1U_4 MVREF_DIM 1 196
+1.8VSUS VREF VSS56 +1.8VSUS VREF VSS56
VSS55 193 VSS55 193
2

2 190 2 190
C161 C166
2.2U/10V/X5R_8 .1U_4
3
8
VSS0
VSS1
VSS2
(H=4) VSS54
VSS53
VSS52
187
184
C180 C168
2.2U/10V/X5R_8 .1U_4
3
8
VSS0
VSS1
VSS2
(H=8) VSS54
VSS53
VSS52
187
184
1

9 VSS3 VSS51 183 9 VSS3 VSS51 183


12 VSS4 VSS50 178 12 VSS4 VSS50 178
15 VSS5 VSS49 177 15 VSS5 VSS49 177
18 VSS6 VSS48 172 18 VSS6 VSS48 172
21 VSS7 VSS47 171 21 VSS7 VSS47 171
24 168 +0.9V_REF +1.8VSUS 24 168
M_CLKOUT0 VSS8 VSS46 M_CLKOUT3 VSS8 VSS46
27 VSS9 VSS45 165 27 VSS9 VSS45 165
28 VSS10 VSS44 162 28 VSS10 VSS44 162
1 C138 R47 C137 1
33 VSS11 VSS43 161 33 VSS11 VSS43 161
1.5P_4 34 156 R48 1.5P_4 34 156
VSS12 VSS42 *0_4 VSS12 VSS42
39 VSS13 VSS41 155 39 VSS13 VSS41 155
M_CLKOUT0# 40 150 1K/F_4 M_CLKOUT3# 40 150
VSS14 VSS40 MVREF_DIM VSS14 VSS40
41 VSS15 VSS39 149 41 VSS15 VSS39 149
M_CLKOUT1 42 145 M_CLKOUT4 42 145
VSS16 VSS38 VSS16 VSS38
47 VSS17 VSS37 144 47 VSS17 VSS37 144
C17 48 139 C175 R49 C14 48 139
VSS18 VSS36 VSS18 VSS36
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33

VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33

1.5P_4 53 138 1.5P_4 53 138


54
VSS19 VSS35
133 1U/10V_4 1K/F_4 54
VSS19 VSS35
133
PROJECT : ZR3
M_CLKOUT1# VSS20 VSS34 M_CLKOUT4# VSS20 VSS34
Quanta Computer Inc.
59
60
65
66
71
72
77
78
121
122
127
128
132

59
60
65
66
71
72
77
78
121
122
127
128
132

DDRII_SODIMM_R DDRII_SODIMM_R
Size Document Number Rev
SMBus ADDRESS: A0h SMBus ADDRESS: A4h DDR2 SO-DIMM 1A

Date: Friday, September 08, 2006 Sheet 7 of 31


A B C D E
5 4 3 2 1

D D

U19A

(3) HT_CADOUT15_P R19 HT_RXCAD15P HT_TXCAD15P P21 HT_CADIN15_P (3)


(3) HT_CADOUT15_N R18 HT_RXCAD15N PART 1 OF 5 HT_TXCAD15N P22 HT_CADIN15_N (3)
(3) HT_CADOUT14_P R21 HT_RXCAD14P HT_TXCAD14P P18 HT_CADIN14_P (3)
(3) HT_CADOUT14_N R22 HT_RXCAD14N HT_TXCAD14N P19 HT_CADIN14_N (3)
(3) HT_CADOUT13_P U22 HT_RXCAD13P HT_TXCAD13P M22 HT_CADIN13_P (3)
(3) HT_CADOUT13_N U21 HT_RXCAD13N HT_TXCAD13N M21 HT_CADIN13_N (3)
(3) HT_CADOUT12_P U18 HT_RXCAD12P HT_TXCAD12P M18 HT_CADIN12_P (3)
(3) HT_CADOUT12_N U19 HT_RXCAD12N HT_TXCAD12N M19 HT_CADIN12_N (3)
(3) HT_CADOUT11_P W19 HT_RXCAD11P HT_TXCAD11P L18 HT_CADIN11_P (3)
(3) HT_CADOUT11_N W20 HT_RXCAD11N HT_TXCAD11N L19 HT_CADIN11_N (3)
(3) HT_CADOUT10_P AC21 HT_RXCAD10P HT_TXCAD10P G22 HT_CADIN10_P (3)
(3) HT_CADOUT10_N AB22 HT_RXCAD10N HT_TXCAD10N G21 HT_CADIN10_N (3)
(3) HT_CADOUT9_P AB20 HT_RXCAD9P HT_TXCAD9P J20 HT_CADIN9_P (3)

HYPER TRANSPORT CPU I/F


(3) HT_CADOUT9_N AA20 HT_RXCAD9N HT_TXCAD9N J21 HT_CADIN9_N (3)
(3) HT_CADOUT8_P AA19 HT_RXCAD8P HT_TXCAD8P F21 HT_CADIN8_P (3)
(3) HT_CADOUT8_N Y19 HT_RXCAD8N HT_TXCAD8N F22 HT_CADIN8_N (3)

C (3) HT_CADOUT7_P T24 HT_RXCAD7P HT_TXCAD7P N24 HT_CADIN7_P (3) C


(3) HT_CADOUT7_N R25 HT_RXCAD7N HT_TXCAD7N N25 HT_CADIN7_N (3)
(3) HT_CADOUT6_P U25 HT_RXCAD6P HT_TXCAD6P L25 HT_CADIN6_P (3)
(3) HT_CADOUT6_N U24 HT_RXCAD6N HT_TXCAD6N M24 HT_CADIN6_N (3)
(3) HT_CADOUT5_P V23 HT_RXCAD5P HT_TXCAD5P K25 HT_CADIN5_P (3)
(3) HT_CADOUT5_N U23 HT_RXCAD5N HT_TXCAD5N K24 HT_CADIN5_N (3)
(3) HT_CADOUT4_P V24 HT_RXCAD4P HT_TXCAD4P J23 HT_CADIN4_P (3)
(3) HT_CADOUT4_N V25 HT_RXCAD4N HT_TXCAD4N K23 HT_CADIN4_N (3)
(3) HT_CADOUT3_P AA25 HT_RXCAD3P HT_TXCAD3P G25 HT_CADIN3_P (3)
(3) HT_CADOUT3_N AA24 HT_RXCAD3N HT_TXCAD3N H24 HT_CADIN3_N (3)
(3) HT_CADOUT2_P AB23 HT_RXCAD2P HT_TXCAD2P F25 HT_CADIN2_P (3)
(3) HT_CADOUT2_N AA23 HT_RXCAD2N HT_TXCAD2N F24 HT_CADIN2_N (3)
(3) HT_CADOUT1_P AB24 HT_RXCAD1P HT_TXCAD1P E23 HT_CADIN1_P (3)
(3) HT_CADOUT1_N AB25 HT_RXCAD1N HT_TXCAD1N F23 HT_CADIN1_N (3)
(3) HT_CADOUT0_P AC24 HT_RXCAD0P HT_TXCAD0P E24 HT_CADIN0_P (3)
(3) HT_CADOUT0_N AC25 HT_RXCAD0N HT_TXCAD0N E25 HT_CADIN0_N (3)

(3) HT_CLKOUT1_P W21 HT_RXCLK1P HT_TXCLK1P L21 HT_CLKIN1_P (3)


(3) HT_CLKOUT1_N W22 HT_RXCLK1N HT_TXCLK1N L22 HT_CLKIN1_N (3)

(3) HT_CLKOUT0_P Y24 HT_RXCLK0P HT_TXCLK0P J24 HT_CLKIN0_P (3)


(3) HT_CLKOUT0_N W25 HT_RXCLK0N HT_TXCLK0N J25 HT_CLKIN0_N (3)

(3) HT_CTLOUT0_P P24 HT_RXCTLP HT_TXCTLP N23 HT_CTLIN0_P (3)


B
(3) HT_CTLOUT0_N P25 HT_RXCTLN HT_TXCTLN P23 HT_CTLIN0_N (3) B

R313 49.9/F_4 HT_RXCALP A24 C25 HT_TXCALP R65 100/F_4


HT_RXCALN HT_RXCALP HT_TXCALP HT_TXCALN
VDDHT_PKG C24 HT_RXCALN HT_TXCALN D24
R56 49.9/F_4

RS485M A11 HT

A A

PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
RS485-HT LINK0 I/F 1A
Date: Friday, September 08, 2006 Sheet 8 of 31
5 4 3 2 1
5 4 3 2 1

U19B
D D

G5 GFX_RX0P PART 2 OF 5 GFX_TX0P J1


G4 GFX_RX0N GFX_TX0N H2
J8 GFX_RX1P GFX_TX1P K2
J7 GFX_RX1N GFX_TX1N K1
J4 GFX_RX2P GFX_TX2P K3
J5 GFX_RX2N GFX_TX2N L3
L8 GFX_RX3P GFX_TX3P L1
L7 GFX_RX3N GFX_TX3N L2
L4 GFX_RX4P GFX_TX4P N2
L5 GFX_RX4N GFX_TX4N N1
M8 GFX_RX5P GFX_TX5P P2
M7 GFX_RX5N GFX_TX5N P1
M4 GFX_RX6P GFX_TX6P P3
M5 GFX_RX6N GFX_TX6N R3
P8 GFX_RX7P GFX_TX7P R1
P7 GFX_RX7N GFX_TX7N R2
P4 GFX_RX8P GFX_TX8P T2
P5 U1

PCIE I/F GFX


GFX_RX8N GFX_TX8N
R4 GFX_RX9P GFX_TX9P V2
R5 GFX_RX9N GFX_TX9N V1
R7 GFX_RX10P GFX_TX10P V3
R8 GFX_RX10N GFX_TX10N W3
C U4 GFX_RX11P GFX_TX11P W1 C
U5 GFX_RX11N GFX_TX11N W2
W4 GFX_RX12P GFX_TX12P Y2
W5 GFX_RX12N GFX_TX12N AA1
Y4 GFX_RX13P GFX_TX13P AA2
Y5 GFX_RX13N GFX_TX13N AB2
V9 GFX_RX14P GFX_TX14P AB1
W9 GFX_RX14N GFX_TX14N AC1 Place these caps
AB7 GFX_RX15P GFX_TX15P AE3 close to connector
AB6 GFX_RX15N GFX_TX15N AE4

W11 AD8 GPP_TX0P_C C126 .1U_4


(20) MINI_PCIE_RXP0 GPP_RX0P GPP_TX0P MINI_PCIE_TXP0 (20)
WLAN MINI CARD W12 AE8 GPP_TX0N_C C122 .1U_4 WLAN MINI CARD
(20) MINI_PCIE_RXN0 GPP_RX0N GPP_TX0N MINI_PCIE_TXN0 (20)

T31 AA11 GPP_RX1P GPP_TX1P AD7 T84


T83 AB11 GPP_RX1N GPP_TX1N AE7 T82
PCIE I/F GPP
Y7 GPP_RX2P GPP_TX2P AD4
AA7 GPP_RX2N GPP_TX2N AE5

AB9 GPP_RX3P GPP_TX3P AD5


AA9 GPP_RX3N GPP_TX3N AD6

W14 AE9 A_TX0P_C C120 .1U_4


(13) A_RX0P SB_RX0P SB_TX0P A_TX0P (13)
B W15 PCIE I/F SB AD10 A_TX0N_C C121 .1U_4 B
(13) A_RX0N SB_RX0N SB_TX0N A_TX0N (13)
AB12 AC8 A_TX1P_C C139 .1U_4
(13) A_RX1P SB_RX1P SB_TX1P A_TX1P (13)
AA12 AD9 A_TX1N_C C140 .1U_4
(13) A_RX1N SB_RX1N SB_TX1N A_TX1N (13)
R43 10K_6 PCE_ISET AA14 AD11 PCE_PCAL R36 150/F_6
R37 8.25K/F_6 PCE_TXISET PCE_ISET(PCE_CALI) PCE_PCAL(PCE_CALRP) PCE_NCAL R38 100/F_6
AB14 PCE_TXISET(NC) PCE_NCAL(PCE_CALRN) AE11 VDDA12_PKG2

R27: 10KOhm FOR RS485 R28: 150 Ohm FOR RS485


1.47KOhm FOR RS690 RS485M A11 HT 562 Ohm FOR RS690
R29: 8.25KOhm FOR RS485 R26: Ward update to 100 Ohm FOR RS485
DNI FOR RS690 2KOhm FOR RS690

A A

PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
RS485-PCIE LINK I/F 1A
Date: Friday, September 08, 2006 Sheet 9 of 31
5 4 3 2 1
5 4 3 2 1

+1.8V HTPVDD
L9
HTPVDD
BK1608HS330_6
C468 C186 +3V AVDD_NB
L14
10U/10V/X5R_8 4.7U/6.3V_6
BK1608HS330_6
C189 C184
C199
10U/6.3V_6 .1U_4 *100U/6.3V_3528

D D

+1.8V AVDD1
close to NB
TV_C/R_SYS R328 0/F_6 U19C
(21) TV_C/R_SYS
TV_Y/G_SYS
(21) TV_Y/G_SYS

1
TV_COMP_SYS C484 B22 B14 TXLOUT0+ (21)
(21) TV_COMP_SYS AVDD1 TXOUT_L0P
C22 AVDD2 PART 3 OF 5 TXOUT_L0N B15 TXLOUT0- (21)
2.2U/10V_8 G17 B13 TXLOUT1+ (21)
AVSSN1 TXOUT_L1P

2
R344 R345 R346 H17 A13 TXLOUT1- (21)
+1.8V AVDDQ AVSSN2 TXOUT_L1N
A20 AVDDDI TXOUT_L2P H14 TXLOUT2+ (21)
150/F_4 150/F_4 150/F_4 L54 B20 G14 TXLOUT2- (21)
AVSSDI TXOUT_L2N
TXOUT_L3P D17 T34
BK1608HS330_6 A21 E17
AVDDQ TXOUT_L3N T33

1
C486 C485 C481 A22 AVSSQ
TXOUT_U0P A15 TXUOUT0+ (21)
10U/10V/X5R_8 2.2U/10V/X5R_8 .1U_4 TV_C/R_SYS

CRT/TVOUT
C21 C_R TXOUT_U0N B16 TXUOUT0- (21)

2
TV_Y/G_SYS C20 C17 TXUOUT1+ (21)
TV_COMP_SYS Y_G TXOUT_U1P
D19 COMP_B TXOUT_U1N C18 TXUOUT1- (21)
close to NB TXOUT_U2P B17 TXUOUT2+ (21)
(21) VGA_RED E19 RED TXOUT_U2N A17 TXUOUT2- (21)
(21) VGA_GRN F19 GREEN TXOUT_U3P A18 T85
(21) VGA_BLU G19 BLUE TXOUT_U3N B18 T89
(21) VSYNC C6 DACVSYNC
+1.8V PLLVDD A5 E15 RS485: LVDDR18A=1.8V
(21) HSYNC DACHSYNC TXCLK_LP TXLCLKOUT+ (21)
R54 R50 R52 L55 D15
TXCLK_LN TXLCLKOUT- (21) +1.8V
R327 715/F_6 B21 H15
RSET TXCLK_UP TXUCLKOUT+ (21)
150/F_4 150/F_4 150/F_4 BK1608HS330_6 G15 L10
TXCLK_UN TXUCLKOUT- (21)
C488 C479 R70 0_4 B6
(21) DDCCLK DACSCL
R69 0_4 LPVDD BK1608HS330_6

LVDS
(21) DDCDAT A6 DACSDA LPVDD D14
C 10U/10V/X5R_8 4.7U/6.3V_6 E14 C178 C192 C
LPVSS
A10 PLLVDD(PLLVDD18)
B10 A12 LVDDR_D .1U_4 4.7U/6.3V_6
PLLVSS LVDDR18D_1
LVDDR18D_2 B12
B24 C12 +1.8V
HTPVDD HTPVDD LVDDR18A_1

PLL PWR
B25 C13 L53
+1.8V +3V HTPVSS LVDDR18A_2
R334 0_4 NB_RST# C10 A16 BK1608HS330_6
(13,20,22) ALINK_RST# R341 0_4 SYSRESET# LVSSR1 C480 C483
(5,25) NB_PWRGD C11 POWERGOOD LVSSR3 A14

PM
LDT_STOP#_NB C5 D12
R42 R64 LDTSTOP# LVSSR5 .1U_4 4.7U/6.3V_6
(13) ALLOW_LDTSTOP B5 ALLOW_LDTSTOP LVSSR6 C19
LVSSR7 C15
10K_4 1K/F_4 R71 10K_4 C23 C16 +1.8V
HTTSTCLK LVSSR8 L52
(12) HTREFCLK B23 HTREFCLK LVDDR_A
2

Q5 R62 10K_4 C2 F14 BK1608HS330_6


TVCLKIN LVSSR12 C188 C185
LVSSR13 F15
1 3 LDT_STOP#_NB B11

CLOCKs
(5,13,14) LDT_STOP# (12) NB_OSC PLLVDD12 OSCIN .1U_4 4.7U/6.3V_6
MMBT3904 T88 A11 OSCOUT(PLLVDD12)

(12) NBSRC_CLKP F2 GFX_CLKP


E1 E12 LCD_PON R337 0_4 LCD_POWER_ON (21)
(12) NBSRC_CLKN GFX_CLKN LVDS_DIGON LVDS_BLON
LVDS_BLON G12
G1 F12 LVDS_BLEN
(12) SBLINK_CLKP SB_CLKP LVDS_BLEN T35
(12) SBLINK_CLKN G2 SB_CLKN
LOAD_ROM#: LOAD ROM STRAP ENABLE DVO_D0(GPP_TX4P) AD14
R57 *2.7K_4 DFT_GPIO0 D6 AD15
R59 3K/F_4 LOAD_ROM# DFT_GPIO0 DVO_D1(GPP_TX4N)
D7 DFT_GPIO1 DVO_D2(NC) AE15
High, LOAD ROM STRAP DISABLE R63
R326
*2.7K_4
*2.7K_4
DFT_GPIO2
DFT_GPIO3
C8 DFT_GPIO2 DVO_D3(GPP_RX4P) AD16
C7 DFT_GPIO3 DVO_D4(GPP_RX4N) AE16
B
Low, LOAD ROM STRAP ENABLE R335 *2.7K_4 DFT_GPIO4
DFT_GPIO5
B8 DFT_GPIO4 DVO_D5(NC) AC17 B
R325 *2.7K_4 A8 AD18
DFT_GPIO5 DVO_D6(NC)

DVO
D27 AE19
DVO_D7(GPP_TX5N)

MIS.
(13) BMREQ# 2 1 RB751 B2 BMREQb DVO_D8(GPP_TX5P) AD19
(21) PHL_CLK A2 I2C_CLK DVO_D9(GPP_RX5N) AE20
(21) PHL_DATA B4 I2C_DATA DVO_D10(GPP_RX5P) AD20
T27 AA15 THERMALDIODE_P DVO_D11(NC) AE21
T25 AB15 THERMALDIODE_N
DVO_VSYNC(NC) AD13
T86 C14 TMDS_HPD DVO_DE(NC) AC13
T87 B3 DDC_DATA DVO_HSYNC(NC) AE13
R58 4.7K/F_4 C3 AE17
R68 2K/F STRP_DATA TESTMODE DVO_IDCKP(NC)
+3V A3 STRP_DATA DVO_IDCKN(NC) AD17

RS485M A11 HT

RS485 RS690
R340 0_4
OSCOUT(A11) OSCOUT PLLVDD12
DVO_D0(AD14) DVO_D0 GPP_TX4P +3V
LCD_PON R330 2K/F_4
DVO_D1(AD15) DVO_D1 GPP_TX4N

5
LVDS_BLON 1
A DVO_D3(AD16) DVO_D3 GPP_RX4P LVDS_BLON R53 2.7K/F_4 4 A
BLON (21)
NB_PWRGD 2
DVO_D4(AE16) DVO_D4 GPP_RX4N U21

3
LVDS_BLEN R76 *2.7K/F_4 *NC7SZ08P5X_NL
DVO_D7(AE19) DVO_D7 GPP_TX5N
DVO_D8(AD19) DVO_D8 GPP_TX5P PROJECT : ZR3
DVO_D9(AE20) DVO_D9 GPP_RX5N 09/07 Add R340 For LCD Power OnOff Sequence Quanta Computer Inc.
DVO_D10(AD20) DVO_D10 GPP_RX5P
Size Document Number Rev
RS485-SYSTEM I/F & DVO 1A

Date: Friday, September 08, 2006 Sheet 10 of 31


5 4 3 2 1
5 4 3 2 1

AC10
AE10

AC4

AC2

AD1
AC5
AC6
AC7
AD3
AC9
AE6

AA3
V12
V11
V14

V15

Y15

Y11

Y12
Y14
W6
M3

M2
M6
G3

G6
H1

H3

N3

R6
U2

U3
U6

R9
A1

P6

P9

Y1

Y3
Y9
F3

F1

T1

T3
L6
J2

J6

J3
U19E

VSSA1
VSSA2
VSSA3
VSSA4
VSSA5
VSSA6
VSSA7
VSSA8
VSSA9
VSSA10
VSSA11
VSSA12
VSSA13
VSSA14
VSSA15
VSSA16
VSSA17
VSSA18
VSSA19
VSSA20
VSSA21
VSSA22
VSSA23
VSSA24
VSSA25
VSSA26
VSSA27
VSSA28
VSSA29
VSSA30
VSSA31
VSSA32
VSSA33
VSSA34
VSSA35
VSSA36
VSSA37
VSSA38
VSSA39
VSSA40
VSSA41
VSSA42
VSSA43
VSSA44
VSSA45
VSSA46
VSSA47
VSSA48
RS485M A11 HT

D D

PAR 5 OF 5
GROUND

VSS10
VSS11
VSS12
VSS13
VSS14
VSS15
VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS34
VSS35
VSS36
VSS37
VSS38
VSS39
VSS40
VSS41
VSS42
VSS43
VSS44
VSS45
VSS46
VSS47
VSS48
VSS49
VSS50
VSS51
VSS52
VSS53
VSS54
VSS55
VSS56
VSS57
VSS58
VSS59
VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
A25
F11
D23
E9
G11
Y23
P11
R24
AE18
M15
J22
G23
J12
L12
L14
L20
L23
M11
M20
M23
M25
N12
N14
B7
L24
P13
P20
P15
R12
R14
R20
W23
Y25
AD25
U20
H25
W24
Y22
AC23
D25
G24
AC14
H12
AC22
R23
C4
AE22
T23
T25
AE14
R17
H23
M17
A23
AC15
F17
D4
AC16
M13
VLDT_RUN
C
80 ohm(4A) C

C127 C141 C147 C131 C132 C152 C130 80 ohm(4A)


VDDA12 +1.2V
10U/10V_8 10U/10V_8 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 U19D L44
AE24 VDD_HT1 PART 4 OF 5 VDDA12_1 D1
AD24 G7 FBJ3216HS800
VDD_HT2 VDDA12_2 C181 C173 C159 C177 C470 C474 C462 C464
AD22 VDD_HT3 VDDA12_3 E2
AB17 VDD_HT4 VDDA12_4 C1
D30 D29 D28 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 10U/10V_8 10U/10V_8 100U/6.3V_3528 100U/6.3V_3528
AE23 VDD_HT5 VDDA12_5 E3
+3V 2 1 2 1 2 1 Y17 VDD_HT6 VDDA12_6 D2
W17 VDD_HT7 VDDA12_7 M9
SW1010C SW1010C SW1010C AC18 F4

POWER
+1.8V VDD_HT8 VDDA12_8 VDDC_NB +1.2V
AD21 VDD_HT9 VDDA12_9 B1
VDD18 AC19 D3 L5
L15 TI201209G121
20mil trace width VDD_HT10 VDDA12_10
AC20 VDD_HT11 VDDA12_11 L9
AB19 E6 TI321611U330
C171 C198 C193 C206 VDD_HT12 VDDA12_12 L4
120 ohm(3A) AD23 VDD_HT13
AA17 VDD_HT14 VDDC_1 L11
RS485: VDDA18=1.8V 1U/6.3V_6 1U/6.3V_6 1U/6.3V_6 1U/6.3V_6 AE25 L13 TI321611U330
VDD_HT15 VDDC_2 C165 C157 C158 C187 C164 C169 C170 C99
VDDC_3 L15
J14 M12 C105
+1.8V VDD18_1 VDDC_4 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 10U/10V/X5R_8 10U/10V/X5R_8
J15 VDD18_2 VDDC_5 R15
VDDA18 M14
L46 BLM18PG330SN1D VDDC_6
AE2 VDDA18_1(VDDA12_13) VDDC_7 N11
AB3 VDDA18_2(VDDA12_14) VDDC_8 N13
C450 C449 C154 C149 C133 C144 C148 C451 U7 N15
VDDA18_3(VDDA12_15) VDDC_9
W7 VDDA18_4(VDDA12_16) VDDC_10 J11
100U/6.3V_3528 10U/10V_8 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 1U/10V_4 AB4 H11
B VDDA18_5(VDDA12_17) VDDC_11 B
AC3 P12
+3V
AD2
VDDA18_6(VDDA12_18)
VDDA18_7(VDDA12_19)
VDDC_12
VDDC_13 P14 NB RS485 POWER STATES
AE1 VDDA18_8(VDDA12_20) VDDC_14 R11 Power Signal S0 S1 S3 S4/S5 G3
VDDR3 R13
L12 TI201209G121
20mil trace width VDDC_15
E11 VDDR3_1 VDDC_16 A19 VDDHT ON ON OFF OFF OFF
D11 VDDR3_2 VDDC_17 B19
C179 20mil trace width U11 VDDR ON ON OFF OFF OFF
VDDC_18
AC12 VDD_DVO1(VDDR_1) VDDC_19 U14
33 ohm (3000mA) 4.7U/6.3V_6 AD12 P17 VDD18 ON ON OFF OFF OFF
VDD_DVO2(VDDR_2) VDDC_20
AE12 VDD_DVO3(VDDR_3) VDDC_21 L17
20mil trace width VDDC_22 J19 VDDC ON ON OFF OFF OFF
+1.8V E7 D20
VDDDVO VDDA12_13(VDDPLL_1) VDDC_23
F7 VDDA12_14(VDDPLL_2) VDDC_24 G20 VDDA18 ON ON OFF OFF OFF
L6 TI201209G121 F9 A9
VSSA49(VSSPLL_1) VDDC_25
G9 VSSA50(VSSPLL_2) VDDC_26 B9 VDDA12 ON ON OFF OFF OFF
C124 C117 C112 C9
VDDC_27
VDDHT_PKG D22 VDDHT_PKG VDDC_28 D9 AVDD ON ON OFF OFF OFF
1U/10V_4 1U/10V_4 1U/10V_4 VDDA12_PKG1 M1 A7
VDDA12_PKG1 VDDC_29
VDDA12_PKG2 AC11 VDDA12_PKG2 VDDC_30 A4 AVDDDI ON ON OFF OFF OFF
VDDC_31 U12
VDDC_32 U15 PLLVDD ON ON OFF OFF OFF
VDDA12 HTPVDD ON ON OFF OFF OFF
VDDPLL RS485M A11 HT
R55 0_6 VDDA12_PKG1 VDDR3 ON ON OFF OFF OFF
C176 LPVDD ON ON OFF OFF OFF
C174 C460
4.7U/6.3V_6 1U/10V_4 LVDDR18D ON ON OFF OFF OFF
10U/10V/X5R_8
A LVDDR18A ON ON OFF OFF OFF A

PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
RS485-POWER 1A

Date: Friday, August 04, 2006 Sheet 11 of 31


5 4 3 2 1
5 4 3 2 1

+3V CLK_VDD +3V


L58 L50 BK1608HS600_6
CLK_VDDA
SBK160808T-301Y-S
22 ohm/1A
C490 C222 C223 C221 C204 C201 C200 C495 C202 C203 C473
22U/10V_8 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 22U/10V_8

D D

+3V
CLK_VDD CLK_VDDA
L61 SBK160808T-301Y-S CLK_VDD_USB U20

300ohm/200mA 46 VDD_CPU VDDA 42 CLK_VDDA 8/10 Change Footprint to 0402.


C498 C224 14 41 R292 261/F_4
1U/10V_4 .1U_4 VDD_SRC1 GNDA
23 VDD_SRC2
26 48 CPUCLK_EXT_R R298 47/F_6
VDD_SRC3 CPUCLK8T0 CPUCLK (5)
36 47 CPUCLK#_EXT_R R299 47/F_6
+3V VDD_SRC4 CPUCLK8C0 CPUCLK# (5)
5 VDD_48 CPUCLK8T1 44
33 VDD_ATIG CPUCLK8C1 43
L60 SBK160808T-301Y-S CLK_VDD_REF 2 VDD_REF NBSRC_CLKP_R R307 0_4
52 VDDHTT ATIGCLKT0 35 NBSRC_CLKP (10)
300ohm/200mA C497 C500 ATIGCLKC0 34 NBSRC_CLKN_R R308 0_4
NBSRC_CLKN (10) NB
45 GND_CPU ATIGCLKT1 31
1U/10V_4 .1U_4 15 30
GND_SRC1 ATIGCLKC1
22 GND_SRC2
27 GND_SRC3
37 GND_SRC4
8 GND_48
C494 33P_4 32 39 SBLINK_CLKP_R R305 0_4
GND_ATIG SRCCLKT0 SBLINK_CLKP (10)
1 38 SBLINK_CLKN_R R306 0_4 NB
GND_REF SRCCLKC0 SBLINK_CLKN (10)

1
C CLK_VDD 50 C
Y3 R343 GNDHTT
14.31818MHZ *1M_4 CLK_XIN 3
R352 XIN
2
C487 33P_4 CLK_XOUT 4 24 SBSRC_CLKP_R R355 0_4
XOUT SRCCLKT2 SBSRCCLK (13)
10K_4 25 SBSRC_CLKN_R R356 0_4 SB
SRCCLKC2 SBSRCCLK# (13)
20 GPP_CLK0P_R R353 0_4
Parallel Resonance Crystal SRCCLKT4
21 GPP_CLK0N_R R354 0_4
CLK_PCIE_MINI (20)
MINI
SRCCLKC4 CLK_PCIE_MINI# (20)
R360 *0_4 11 18
(14) SYS_RST# RESET_IN# SRCCLKT5
53 NC SRCCLKC5 19
SRCCLKT6 16
SRCCLKC6 17
SRCCLKT7 12
SRCCLKC7 13

R350 0_4 9 49 CLKREQA# T36


(7,14,20) PCLK_SMB SMBCLK *CLKREQA#
R351 0_4 10 28 CLKREQB# T98
(7,14,20) PDAT_SMB SMBDAT *CLKREQB#
29 CLKREQC# T90
*CLKREQC#
40 7 CLK_48M_1_R R349 22/F_4
IREF/**Turbo 48MHz_1/**Sync SDCLK1 (18)
6 CLK_48M_2_R R359 22/F_4
48MHz_0/**Mode USBCLK (14)
Ioh = 5 * Iref
(2.32mA)

1
R304 55
10K/F_4 *FSB/REF1 C249 C501 CLK_VDD
Voh = 0.71V @ 60 ohm *FSA/REF0 56
*FSC/REF2 54 *10P_4 *10P_4

2
HTTCLK0 51

RTM870T-691 R293 R329 R294


B B

Note: * internal 150K pull up , 10K_4 10K_4 10K_4

** internal 150K pull down R301


R324
*10K_4
*10K_4
R302 *10K_4
EXT CLK FREQUENCY SELECT TABLE(MHZ)
FS2 FS1 FS0 CPU HTT SRC ATIG USB1 SSC SB_OSCIN_R R300 22/F_4
SB_OSCIN (14)
NB_OSCIN_R R303 22/F_4
NB_OSC (10)
0 0 0 266.67 66.66 100 100 48.00 +/- 0.25% HTREFCLK_R R295 33/F_4
HTREFCLK (10)
0 0 1 133.33 66.66 100 100 48.00 +/- 0.25%

1
R291
0 1 0 200.00 66.66 100 100 48.00 +/- 0.25% CLK_VDD
C469 C190 C227
49.9/F 10P_4 *10P_4 *10P_4

2
0 1 1 166.67 66.66 100 100 48.00 +/- 0.25%
CLK_48M_1_R R88 *10K_4
1 0 0 333.33 66.66 100 100 48.00 +/- 0.25% CLK_48M_2_R R87 *10K_4

1 0 1 100.00 66.66 100 100 48.00 +/- 0.25% CLKREQA# R290 *10K_4 08/16 More overshoot and undershoot improvement
CLKREQB# R357 *10K_4
1 1 0 400.00 66.66 100 100 48.00 +/- 0.25% CLKREQC# R309 *10K_4

1 1 1 200.00 66.66 100 100 48.00 +/- 0.25%


Check AMD clock
A A

PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
EXTERNAL CLOCK GENERATOR 1A

Date: Friday, September 08, 2006 Sheet 12 of 31


5 4 3 2 1
5 4 3 2 1

+3VSUS

C506 .1U_4
U23 R362 8.2K_4

5
1 EC_PWRGD U22A
4 16mA
8/15 For EMI
(10,20,22) ALINK_RST#
2 AG10
SB460 SB 27x27mm U2 PCI_MINI R389 22_4 PCLK_MINI PCLK_MINI C515 33P_4
NC7SZ08P5X A_RST# PCICLK0 PCLK_MINI (16,20,26)
Part 1 of 4 T2 PCI_591 R138 22_4 PCLK_591 PCLK_591 C327 33P_4

PCI CLKS
PCICLK1 PCLK_591 (16,25)

3
J24 U1 PCI_PCM R388 22_4 PCLK_PCM PCLK_PCM C514 33P_4
(12) SBSRCCLK PCIE_RCLKP PCICLK2 PCLK_PCM (16,18)
J25 V2 PCI_SIO R136 22_4 PCLK_SIO PCLK_SIO C325 33P_4
(12) SBSRCCLK# PCIE_RCLKN PCICLK3 PCLK_SIO (16)
W3 PCI_CLK4 R135 22_4 PCICLK4 PCICLK4 C324 33P_4
PCICLK4 PCICLK4 (16)
R368 *0_4 C478 .01U_4 A_RX0P_C P29 U3 PCI_LAN R137 22_4 PCLK_LAN PCLK_LAN C326 33P_4
(9) A_RX0P PCIE_TX0P PCICLK5 PCLK_LAN (16,17)
C477 .01U_4 A_RX0N_C P28 V1 PCI_CLK6 R390 22_4 PCICLK6 PCICLK6 C516 33P_4
D (9) A_RX0N PCIE_TX0N PCICLK6 PCICLK6 (16) D
C476 .01U_4 A_RX1P_C M29 T1 SPDIF_RR R387 0_4 SB_SPDIF_OUT (16)
(9) A_RX1P PCIE_TX1P SPDIF_OUT/PCICLK7/GPIO41
C475 .01U_4 A_RX1N_C M28
(9) A_RX1N PCIE_TX1N
K29 AJ9 PCIRST#_C
T91 PCIE_TX2P PCIRST#
T93 K28 PCIE_TX2N
H29 AD[0..31]
T92 PCIE_TX3P AD[0..31] (16,17,18,20,26)
H28 W7 AD0
T94 PCIE_TX3N AD0/ROMA18
Y1 AD1
AD1/ROMA17 AD2 +3V
T25 W8

PCI EXPRESS INTERFACE


SB CALIBRATION RESISITOR VALUE (9) A_TX0P PCIE_RX0P AD2/ROMA16
T26 W5 AD3
(9) A_TX0N PCIE_RX0N AD3/ROMA15
SB600 SB460 (9) A_TX1P T22 PCIE_RX1P AD4/ROMA14 AA5 AD4 C317 .1U_4
T23 Y3 AD5 C312 1000p/50V_4
(9) A_TX1N PCIE_RX1N AD5/ROMA13
562 OHM 1% 150 OHM 1% M25 AA6 AD6 U5
R? T48 PCIE_RX2P AD6/ROMA12 AD7 NC7SZ08P5X_NL
T44 M26 PCIE_RX2N AD7/ROMA11 AC5

5
2.05K 1% 150 OHM 1% M22 AA7 AD8 EC_PWRGD 1
R? T49 PCIE_RX3P AD8/ROMA9 AD9
(5,14,25) EC_PWRGD
PCIRST#
T46 M23 PCIE_RX3N AD9/ROMA8 AC3 4 PCIRST# (17,18,20,25,26)
R? 0 ohm 4.12K 1% AD10/ROMA7 AC7 AD10 PCIRST#_C 2
R311 150/F_6 PCIE_CALRP E29 AJ7 AD11
PCIE_CALRP AD11/ROMA6

3
R312 150/F_6 PCIE_CALRN E28 AD4 AD12
PCIE_VDDR PCIE_CALRN AD12/ROMA5
AB11 AD13 C306 R128 C322
+1.8V PCIE_PVDD R310 4.12K/F_6 PCIE_CALI AD13/ROMA4 AD14 82P_4
E27 PCIE_CALI AD14/ROMA3 AE6
L13 AC9 AD15 *82P_4 8.2K_4
PCIE_PVDD AD15/ROMA2 AD16
U29 PCIE_PVDD AD16/ROMD0 AA3
SBK160808T-301Y-S_6 AJ4 AD17 R130 *0_4
AD17/ROMD1 AD18
PCIE Power
C191 C210 C205 U28 AB1
PCIE_PVSS AD18/ROMD2 AD19
AD19/ROMD3 AH4
10U/10V_8 1U/10V_4 .1U_4 F27 AB2 AD20
PCIE_VDDR_1 AD20/ROMD4 AD21 +3V
F28 PCIE_VDDR_2 AD21/ROMD5 AJ3
F29 AB3 AD22
PCIE_VDDR_3 AD22/ROMD6 AD23 PCI_LOCK# R372 8.2K_4
G26 PCIE_VDDR_4 AD23/ROMD7 AH3
G27 AC1 AD24
C PCIE_VDDR_5 AD24 AD25 INTE# R133 8.2K_4 C

PCI INTERFACE
G28 PCIE_VDDR_6 AD25 AH2
G29 AC2 AD26
+1.8V PCIE_VDDR PCIE_VDDR_7 AD26 AD27 INTF# R393 8.2K_4 C509
J27 PCIE_VDDR_8 AD27 AH1
L11 J29 AD2 AD28 .1U_4
PCIE_VDDR_9 AD28 AD29 INTG# R147 8.2K_4
L25 PCIE_VDDR_10 AD29 AG2
TI201209G121_8 L26 AD1 AD30
C207 C194 C195 C212 C214 C220 C217 C226 C215 C219 PCIE_VDDR_11 AD30 AD31 INTH# R132 8.2K_4
L29 PCIE_VDDR_12 AD31 AG1
N29 PCIE_VDDR_13 CBE0#/ROMA10 AB9 CBE0# (17,18,20,26)
22U/10V_8 .1U_6 .1U_6 .1U_6 .1U_6 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 AF9
CBE1#/ROMA1 CBE1# (17,18,20,26)
CBE2#/ROMWE# AJ5 CBE2# (17,18,20,26)
CBE3# AG3 CBE3# (17,18,20,26)
AA2 FRAME# +3V
FRAME# FRAME# (17,18,20,26)
AH6 DEVSEL# RN31 8.2KX4_4
DEVSEL#/ROMA0 DEVSEL# (17,18,20,26)
AG5 IRDY# PERR# 2 1
IRDY# IRDY# (17,18,20,26)
ATi Recommend TRDY#/ROMOE# AA1 TRDY#
TRDY# (17,18,20,26)
FRAME# 4 3
Vendor: NSK AF7 PAR TRDY# 6 5

CLG Part Number: NXG 32.768KAE12FUD 16 PPM.

1
Y4
4
32K_X1

32K_X2
PAR/ROMA19
STOP#
PERR#
SERR#
REQ0#
REQ1#
REQ2#
Y2
AG8
AC11
AJ8
AE2
AG9
STOP#
PERR#
SERR#
REQ0#
REQ1#
REQ2#
PAR (17,18,20)
STOP# (17,18,20)
PERR# (17,18,20)
SERR# (17,18,20)
REQ0# (17)

REQ2# (20)
STOP#

REQ4#
DEVSEL#
REQ0#
8

RN33
2
4
6
7

8.2KX4_4
1
3
5
C507
.1U_4

2 3 AH8 REQ3# REQ2# 8 7


REQ3#/GPIO70 REQ3# (18)
AH5 REQ4#
32.768KHZ REQ4#/GPIO71 GNT0# RN30 *8.2KX4_4
AD11

B
RTC R396
20M_4
R144

C319
18P_4
20M_4

C320
18P_4
GNT0#
GNT1#
GNT2#
GNT3#/GPIO72
GNT4#/GPIO73
CLKRUN#
LOCK#
AF2
AH7
AB12
AG4
AG7
AF6
GNT1#
GNT2#
GNT3#
GNT4#
CLKRUN#
PCI_LOCK#
GNT0# (17)

GNT2# (20,26)
GNT3# (18)

CLKRUN# (17,20,25)
GNT0#
GNT2#
GNT3#
GNT1#
2
4
6
8

RN34
1
3
5
7

8.2KX4_4 B
ATI recommand have internal pull-up REQ3# 2 1
3VRTC D4 RB751 VCCRTC AD3 INTE# SERR# 4 3
+1.2V INTE#/GPIO33 INTE# (17,20)
+3VPCU 2 1 AF1 INTF# REQ1# 6 5
INTF#/GPIO34 INTF# (20)
For SB600, connect to INTG#/GPIO35 AF4 INTG#
INTG# (18)
IRDY# 8 7
CPU_PG/LDT_PG 32K_X1 D2 AF3 INTH#
X1 INTH#/GPIO36 INTH# (18)
R105 D3 RB751
RTC_N02 2 1
For SB460, connect to R75 GNT4# R131 *8.2K_4

XTAL
SSMUXSEL/GPIO0 *10K_4 Add for debug.
2

1K/F_4 32K_X2 C1 PAR R361 8.2K_4


C261 C260 JP1 X2 LAD0/FWH0
LAD0 AG24 LAD0/FWH0 (25)
9/1 Battery should be connected *Clear PAD (5,14) CPU_PWRGD R74 *0_4 AC26 AG25 LAD1/FWH1 LAD3/FWH3 R297 100K/F_4 C196
CPU_PG/LDT_PG LAD1 LAD1/FWH1 (25)
directly - not through a UL resistor, 1U/10V_4 .1U_4 H_INTR W26 AH24 LAD2/FWH2 .1U_4
T40 INTR/LINT0 LAD2 LAD2/FWH2 (25)
1

H_NMI W24 AH25 LAD3/FWH3 LAD2/FWH2 R323 100K/F_4

LPC
and not through a diode. T45
H_INIT# W25
NMI/LINT1 LAD3
AF24 LFRAME#/FWH4
LAD3/FWH3 (25)
T41 INIT# LFRAME# LFRAME#/FWH4 (16,25)
R109 H_SMI# AA24 AJ24 LDRQ#0 LAD1/FWH1 R77 100K/F_4
T42 SMI# LDRQ0#
(5,10,14) LDT_STOP# AA23 AH26 LDRQ#1
100/F_6 H_IGNNE# SLP#/LDT_STP# LDRQ1#/GNT5#/GPIO68 BMREQ# LAD0/FWH0 R81 100K/F_4
T50 AA22 IGNNE#/SIC BMREQ#/REQ5#/GPIO65 W22 BMREQ# (10)
H_A20M# AA26 AF23 SERIRQ
T39 A20M#/SID CPU SERIRQ SERIRQ (18,20,25)
H_FERR# Y27
T37 FERR#
(10) ALLOW_LDTSTOP AA25 D3 SERIRQ R73 10K_4
STPCLK#/ALLOW_LDTSTP RTCCLK RTC_CLK (16)
Q7 STP_CPU# AH9 F5
T110 CPU_STP#/DPSLP_3V# RTC_IRQ#/GPIO69 AUTO_ON# (16)
R96 R95 H_DPSLP# R370 *0_4
RTC
MMBT3904 (14) H_DPSLP# B24 DPSLP_OD#/GPIO37
3VRTC 1 3 RTC_N01 RTC_N04 DPRSLPVR W23 E1 VCCRTC BMREQ# R67 10K_4
+5VPCU T38 DPRSLPVR VBAT
(5) LDT_RST# AC25 LDT_RST#/DPRSTP#/PROCHOT# RTC_GND D1
1.5K/F_6 1.5K/F_6 FOR SB460, THIS BALL C298 RN29 10KX4_4
R94 IS LDT_RST# ONLY C197 CLKRUN# 2 1
SB460 1U/10V_4 18P_4 LDRQ#1 4 3
4.7K/F_6 +3V LDRQ#0 6 5
2

RTC_N03 8 7
A A

R107 R371
1

CN15 10K_4
15K/F_6
C279 H_DPSLP#
.1U_4
PROJECT : ZR3
BATCON R369
*10K_4 Quanta Computer Inc.
2

Size Document Number Rev


Custom SB460M PCIE/PCI/CPU/LPC I/F 1A
Date: Friday, September 08, 2006 Sheet 13 of 31
5 4 3 2 1
5 4 3 2 1

+3V_S5 SB_OSCIN R86 *22_4 C233 *10P_4


PU/PD
U22D
SUSB# R115 4.7K/F_4
SUSC# R124 4.7K/F_4 SB460 SB 27x27mm R89 *22_4 C241 *10P_4
DNBSWON# R382 4.7K/F_4
C520 (18,20) PME# PME# A3 Part 4 of 4 A17 SB_48M_X1
PCI_PME#/GEVENT4# USBCLK USBCLK (12)
PME# R377 4.7K/F_4 RI# B2
T114 RI#/EXTEVNT0#
.1U_4 SUSB# F7 A14 USB_RCOMP R90 11.8K/F_4
(25) SUSB# SLP_S3# USB_RCOMP

ACPI / WAKE UP
SUS_STAT# R374 10K_4 SUSC# A5
(25) SUSC# SLP_S5#
RI# R378 10K_4 DNBSWON# E3 A11
(25) DNBSWON# PWR_BTN# USB_ATEST1 T106
D SWI# R104 10K_4 B5 A10 D
(5,13,25) EC_PWRGD PWR_GOOD USB_ATEST0 T109
SUS_STAT# B3
T113 SUS_STAT#
SYS_RST# R153 10K_4 R99 10K_4 F9 H12
TEST2 USB_HSDP9+ T53
R100 10K_4 E9 G12
TEST1 USB_HSDM9- T52

EVENTS
PCIE_WAKE# R110 4.7K/F_4 R98 10K_4 G9
GATEA20 TEST0
(25) GATEA20 AF26 GA20IN USB_HSDP8+ E12 T51
EMAIL_LED# R379 10K_4 RCIN#

USB INTERFACE
(25) RCIN# AG26 KBRST# USB_HSDM8- D12 T54
MAX6648_AL# R398 10K_4 SWI# D7
(25) SWI# LPC_PME#/GEVENT3#
EXTEVNT1# C25 E14 USBP7+ (20)
T95 LPC_SMI#/EXTEVNT1# USB_HSDP7+
AZ_RST# R121 *10K_4 (5) CPU_PROCHOT# CPU_PROCHOT# D9 D14 USBP7- (20)
AC_RST# R111 10K_4 SYS_RST# S3_STATE/GEVENT5# USB_HSDM7-
(12) SYS_RST# F4 SYS_RESET#/GPM7#
(20) PCIE_WAKE# PCIE_WAKE# E7 G14
WAKE#/GEVENT8# USB_HSDP6+ USBP6+ (20)
CPU_PROCHOT# R363 4.7K/F_4 (26) EMAIL_LED# EMAIL_LED# C2 H14
BLINK/GPM6# USB_HSDM6- USBP6- (20)
SB_THERMTRIP# G7
T119 SMBALERT#/THRMTRIP#/GEVENT2#
USB_HSDP5+ D16
+3V E16 USB0: D/B IO
Delay 20ms after S5 powerOK RSMRST# USB_HSDM5-
(25) RSMRST# E2 RSMRST# USB1: D/B IO
OSC / RST D18 USBP4+ (20)
RCIN# R72 4.7K/F_4 SB_OSCIN B23
USB_HSDP4+
E18
USB2: D/B IO
(12) SB_OSCIN 14M_OSC USB_HSDM4- USBP4- (20)
GATEA20 R66 *10K_4 USB4: M/B IO
GPIO10 C28 G16 USB6: BLUETOOTH
EXTEVNT1# R338 10K_4 C466 GPIO1 SATA_IS0#/GPIO10 USB_HSDP3+
A26 ROM_CS#/GPIO1 USB_HSDM3- H16 USB7: MINI CARD
SB_THERMTRIP# R365 10K_4 GPIO6 B29
.1U_4 GPIO7 GHI#/SATA_IS1#/GPIO6
A23 WD_PWRGD/GPIO7 USB_HSDP2+ G18 USBP2+ (20)
PCLK_SMB R318 2.2K_4 (22) RST_HDD# RST_HDD# B27 H18 USBP2- (20)
PDAT_SMB R316 2.2K_4 GPIO5 SMARTVOLT/SATA_IS2#/GPIO4 USB_HSDM2-
D23 SHUTDOWN#/GPIO5
(23) PCSPK PCSPK B26 D19 USBP1+ (20)
RST_HDD# R78 10K_4 PCLK_SMB SPKR/GPIO2 USB_HSDP1+
(7,12,20) PCLK_SMB C27 SCL0/GPOC0# USB_HSDM1- E19 USBP1- (20)
SB_LLB# R375 *10K_4 PDAT_SMB B28

GPIO
(7,12,20) PDAT_SMB
C3
SDA0/GPOC1#
SCL1/GPOC2# USB_HSDP0+ G19 USBP0+ (20)
USB power
C GPIO5 R358 4.7K/F_4 F3 H19 USBP0- (20)
C
BOARD_ID1 SDA1/GPOC3# USB_HSDM0- AVDD_USB L63 +3V_S5
D26 DDC1_SCL/GPIO9
GPIO1 R342 10K_4 BOARD_ID0 C26 TI201209G121
GPIO6 R317 10K_4 R336 0_4 GPIO0 DDC1_SDA/GPIO8
(5,13) CPU_PWRGD A27 SSMUXSEL/SATA_IS3#/GPIO0 AVDDTX_0 B9
GPIO7 R348 10K_4 SB_LLB# A4 B11
T112 LLB#/GPIO66 AVDDTX_1
GPIO13 R367 10K_4 B13 C255 C245 C262 C274 C282 C505 C502
GPIO31 R85 10K_4 AVDDTX_2
AVDDTX_3 B16
USB_OCP9# C6 B18 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 1U/10V_6 22U/10V_8
GPIO0 R331 *10K_4 USB_OCP8# USB_OC9#/SLP_S2/GPM9# AVDDTX_4
C5 USB_OC8#/AZ_DOCK_RST#/GPM8# AVDDRX_0 A9
GPIO10 R319 *10K_4 USB_OCP7# C4 B10
USB_OCP6# USB_OC7#/GEVENT7# AVDDRX_1
B4 USB_OC6#/GEVENT6# AVDDRX_2 B12
AZ_RST# B6 B14
GPIO3 R84 10K_4 USB_OCP4# USB_OC5#/DDR3_RST#/GPM5# AVDDRX_3 +3.3V_AVDDC +3V_S5
A6 USB_OC4#/GPM4# AVDDRX_4 B17

USB OC
GPIO14 R134 10K_4 USB_OCP3# C8 L18
USB_OCP2# USB_OC3#/GPM3#
C7 USB_OC2#/GPM2# AVDDC A12
PCSPK R82 10K_4 (25) SCI# SCI# B8 SBK160808T-301Y-S
USB_OC1#/GPM1#

2
CPU_PROCHOT# R97 *10K_4 (25) KBSMI# KBSMI# A8 A13 C277 C259 C263
USB_OC0#/GPM0# AVSSC
A16 1U/10V_6 .1U_4 2.2U/10V_8
AVSS_USB_1

1
AZ_BITCLK N2 C9
AZ_BITCLK AVSS_USB_2

AZALIA
AZ_SDOUT M2 C10
AZ_SDOUT AVSS_USB_3
T61 K2 AZ_SDIN3/GPIO46 AVSS_USB_4 C11
AC_SDIN2 R116 10K_4 AZ_SYNC L3 C12
AZ_SDIN1 R165 10K_4 AZ_SYNC AVSS_USB_5
K3 C13

USB PWR
T60 AZ_RST# AVSS_USB_6
CD_SDIN0 R397 10K_4 C14
AC_BITCLK_R R383 10K_4 AC_BITCLK_R AVSS_USB_7
T116 L1 AC_BITCLK/GPIO38 AVSS_USB_8 C16
AZ_RST# R120 10K_4 (16) AC_SDOUT L2 C17
AZ_SYNC R386 10K_4 CD_SDIN0 AC_SDOUT/GPIO39 AVSS_USB_9
(23) CD_SDIN0 L4 ACZ_SDIN0/GPIO42 AVSS_USB_10 C18
AZ_SDOUT R149 10K_4 (23) AZ_SDIN1 AZ_SDIN1 J2 C19
ACZ_SDIN1/GPIO43 AVSS_USB_11

AC97
AZ_BITCLK R126 10K_4 AC_SDIN2 J4 C20
T57 ACZ_SDIN2/GPIO44 AVSS_USB_12
B AC_SYNC_R M3 D11 B
T115 AC_SYNC/GPIO40 AVSS_USB_13
AC_RST# L5 D21
T56 AC_RST#/GPIO45 AVSS_USB_14
AVSS_USB_15 E11
AVSS_USB_16 E21
AVSS_USB_17 F11
GPIO3 E23 F12
T43 NC1 AVSS_USB_18
GPIO31 AC21 F14
GPIO13 NC2 AVSS_USB_19
USB power use S3 power,But H_DPSLP#
AD7 NC3 AVSS_USB_20 F16
(13) H_DPSLP# AE7 F18
Over current signal datasheet is GPIO14 AA4
NC4 AVSS_USB_21
F19
T66 NC5 AVSS_USB_22
S5 only,But ATI FAE say use (5) MAX6648_AL#
MAX6648_AL# T4 NC6 AVSS_USB_23 F21 +3V
(5,10,13) LDT_STOP# R117 0_4 D4 G11
S3 is ok AB19
NC7 AVSS_USB_24
G21 R315 *10K_4 BOARD_ID0 R314 10K_4
T47 NC8 AVSS_USB_25
AVSS_USB_26 H11
H21 R296 *10K_4 BOARD_ID1 R320 10K_4
+3V_S5 AVSS_USB_27
AVSS_USB_28 J11
AVSS_USB_29 J12
AVSS_USB_30 J14
KBSMI# R366 4.7K/F_4 J16
R125 39_4 AVSS_USB_31
(23) CD_BITCLKA_MDC AVSS_USB_32 J18
AVSS_USB_33 J19
RP4 10KX2_4 Board ID ID1 ID0
USB_OCP2# 1 2 C308 *22P_4
SCI# 3 4
R127 39_4 AZ_BITCLK SB460 00 PATA HDD
(23) AZ_BITCLKA
RP5 *10KX2_4
USB_OCP9# 1 2
01 SATA HDD
USB_OCP8# 3 4 C309 *22P_4
10
RN32 10KX4_4
A USB_OCP3# 2 1 11 A
USB_OCP4# 4 3
USB_OCP6# 6 5
USB_OCP7# 8 7 R140 39_4 R384 39_4 R122 39_4
(23) CD_SDOUTA_MDC (23) CD_SYNC_MDC (23) CD_RESET#_MDC

C329 *33P_4 C512 *22P_4 C295 *22P_4


PROJECT : ZR3
R139 39_4 AZ_SDOUT R385 39_4 AZ_SYNC R123 39_4 AZ_RST#
(23) AZ_SDOUTA (23) AZ_SYNCA (23,24) AZ_RESET# Quanta Computer Inc.
CLG 09/07 Stuff C329,C328 to 33P For EMI Situation.
5
C328 33P_4

4
C513 *22P_4

3
C301 *22P_4

2
Size
Custom

Date:
Document Number
SB460M ACPI/GPIO/USB/AC97
Friday, September 08, 2006
1
Sheet 14 of 31
Rev
1A
5 4 3 2 1

+3V L51 VDDQ_3V U22C


U22B
FBJ3216HS800 A25 VDDQ_1 SB4600 SB 27x27mm
VSS_1 A1
C238 *.01U_4 SATA_TX0+_C AH21 C472 C265 C208 C213 C281 C229 A28 A20
(22) SATA_TXP0
C239 *.01U_4 SATA_TX0-_C AJ21 SATA_TX0+ SB460 SB 27x27mm AB29 C29
VDDQ_2
Part 3 of 4
VSS_2
A21
(22) SATA_TXN0 SATA_TX0- IDE_IORDY PHDRDY (22) VDDQ_3 VSS_3
Part 2 of 4 IDE_IRQ AA28 IRQ14 (22) 80ohm/4A 100U/6.3V_3528 1U/10V_6 1U/10V_6 1U/10V_6 1U/10V_6 1U/10V_6 D24 VDDQ_4 VSS_4 A29
D (22) SATA_RXN0 AH20 SATA_RX0- IDE_A0 AA29 PDA0 (22) L9 VDDQ_5 VSS_5 B1 D
(22) SATA_RXP0 AJ20 SATA_RX0+ IDE_A1 AB27 PDA1 (22) L21 VDDQ_6 VSS_6 B7
IDE_A2 Y28 PDA2 (22) M5 VDDQ_7 VSS_7 B25
AH18 AB28 C216 C240 C211 C299 C283 C296 P3 C21
T96 SATA_TX1+ IDE_DACK# PDDACK# (16,22) VDDQ_8 VSS_8
T100 AJ18 SATA_TX1- IDE_DRQ AC27 PDDREQ (22) P9 VDDQ_9 VSS_9 C22
C489 *27P_4@SATA SATA_X1 AC29 1U/10V_6 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 T5 C24
IDE_IOR# PDIOR# (22) VDDQ_10 VSS_10
T99 AH17 SATA_RX1- IDE_IOW# AC28 PDIOW# (22) V9 VDDQ_11 VSS_11 D6
1

Y2 AJ17 W28 W2 E24


T97 SATA_RX1+ IDE_CS1# PDCS1# (22) VDDQ_12 VSS_12
R332 R347 W27 W6 F2
IDE_CS3# PDCS3# (22) VDDQ_13 VSS_13
*10M_4@SATA 0_4@PATA AH13 C287 C232 C218 C209 C278 C286 W21 F23

SERIAL ATA

ATA 66/100
T105 SATA_TX2+ VDDQ_14 VSS_14
*25MHZ@SATA AH14 AD28 PDD0 W29 G1
T103 SATA_TX2- IDE_D0/GPIO15 VDDQ_15 VSS_15
2

SATA_X2 AD26 PDD1 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 AA12 J1
C482 *27P_4@SATA IDE_D1/GPIO16 PDD2 VDDQ_16 VSS_16
T101 AH16 SATA_RX2- IDE_D2/GPIO17 AE29 AA16 VDDQ_17 VSS_17 J8
AJ16 AF27 PDD3 AA19 L6
T102 SATA_RX2+ IDE_D3/GPIO18 VDDQ_18 VSS_18
AG29 PDD4 AC4 L8
IDE_D4/GPIO19 PDD5 +1.8V VDD_1.8V VDDQ_19 VSS_19
D3A:R347 When PATA mount CS00002JB38 T111 AJ11 SATA_TX3+ IDE_D5/GPIO20 AH28
PDD6
L21 AC23 VDDQ_20 VSS_20 M9
AH11 AJ28 AD27 M12
SATA And Osc mount CS04992FB31 T107 SATA_TX3- IDE_D6/GPIO21
AJ27 PDD7 AE1
VDDQ_21 VSS_21
M15
IDE_D7/GPIO22 FBJ3216HS800 VDDQ_22 VSS_22
AH12 AH27 PDD8 C300 C305 C257 C268 C267 AE9 M18
T108 SATA_RX3- IDE_D8/GPIO23 VDDQ_23 VSS_23
AJ13 AG27 PDD9 AE23 N13
T104 SATA_RX3+ IDE_D9/GPIO24 VDDQ_24 VSS_24
AG28 PDD10 *100U/6.3V_3528 22U/10V_8 1U/10V_6 1U/10V_6 1U/10V_6 AH29 N17
R364 *1K/F_4@SATA SATA_CAL IDE_D10/GPIO25 PDD11 VDDQ_25 VSS_25
AF12 SATA_CAL IDE_D11/GPIO26 AF28 AJ2 VDDQ_26 VSS_26 P1
AF29 PDD12 80ohm/4A AJ6 P6
SATA_X1 IDE_D12/GPIO27 PDD13 VDDQ_27 VSS_27
AD16 SATA_X1 IDE_D13/GPIO28 AE28 AJ26 VDDQ_28 VSS_28 P21
AD25 PDD14 C258 C250 C235 C252 C236 R12
SATA_X2 IDE_D14/GPIO29 PDD15 VSS_29
AD18 SATA_X2 IDE_D15/GPIO30 AD29 M13 VDD_1 VSS_30 R15
D31 1U/10V_6 .1U_4 .1U_4 .1U_4 .1U_4 M17 VDD_2 VSS_31 R18
(22,26) HDDLED# 2 1 *BAS316@SATA SATA_ACT# AC12 N12 T6
SATA_ACT#/GPIO67 VDD_3 VSS_32
N15 VDD_4 VSS_33 T9
C AD14 N18 U13 C
PLLVDD_ATA PLLVDD_SATA_1 VDD_5 VSS_34
AJ10 J3 C247 C246 C251 C243 R13 U17
PLLVDD_SATA_2 SPI_DI/GPIO12 VDD_6 VSS_35
J6 R17 V3

SPI ROM
SPI_DO/GPIO11 .1U_4 .1U_4 .1U_4 .1U_4 VDD_7 VSS_36
XTLVDD_ATA AC16 G3 U12 V8
SATA Power AE14
XTLVDD_SATA SPI_CLK/GPIO47
SPI_HOLD#/GPIO31 G2
G6
U15
U18
VDD_8
VDD_9
VSS_37
VSS_38 V12
V15
+1.8V_ATA AVDD_SATA_1 SPI_CS#/GPIO32 VDD_10 VSS_39
AE16 AVDD_SATA_2 V13 VDD_11 VSS_40 V18
+1.8V L19 XTLVDD_ATA AE18 C23 +3V_S5 L64 SB_S5_3V V17 V21
*SBK160808T-301Y-S@SATA AVDD_SATA_3 LAN_RST#/GPIO13 VDD_12 VSS_41
AE19 AVDD_SATA_4 ROM_RST#/GPIO14 G5 VSS_42 W1
AF19 AVDD_SATA_5 A2 S5_3.3V_1 VSS_43 W9
SBK160808T-301Y-S C508 C511 C510 C303 C302 C294
AF21 M4 A7 Y29

POWER
C264 C248 AVDD_SATA_6 FANOUT0/GPIO3 S5_3.3V_2 VSS_44
AG22 AVDD_SATA_7 FANOUT1/GPIO48 T3 F1 S5_3.3V_3 VSS_45 AA11
AG23 V4 10U/10V_8 1U/10V_6 1U/10V_6 .1U_4 .1U_4 .1U_4 J5 AA14
*22U/10V_8 *1U/10V_4 AVDD_SATA_8 FANOUT2/GPIO49 S5_3.3V_4 VSS_46
AH22 AVDD_SATA_9 J7 S5_3.3V_5 VSS_47 AA18

SERIAL ATA POWER


AH23 AVDD_SATA_10 FANIN0/GPIO50 N3 K1 S5_3.3V_6 VSS_48 AC6
AJ12 P2 +1.8V_S5 L22 SB_S5_1.8V AC24
AVDD_SATA_11 FANIN1/GPIO51 VSS_49
AJ14 AVDD_SATA_12 FANIN2/GPIO52 W4 G4 S5_1.2V_1 VSS_50 AD9
AJ19 AVDD_SATA_13 SBK160808T-301Y-S H1 S5_1.2V_2 VSS_51 AD23
AJ22 P5 C311 C293 C297 C290 H2 AE3
AVDD_SATA_14 TEMP_COMM S5_1.2V_3 VSS_52

HW MONITOR
+1.8V L20 PLLVDD_ATA AJ23 AVDD_SATA_15 TEMPIN0/GPIO61 P7 300ohm(200mA) H3 S5_1.2V_4 VSS_53 AE27
*SBK160808T-301Y-S@SATA P8 10U/10V_8 .1U_4 .1U_4 .1U_4 AG6
TEMPIN1/GPIO62 VSS_54
AB14 AVSS_SATA_1 TEMPIN2/GPIO63 T8 A18 USB_PHY_1.2V_1 VSS_55 AJ1
AB16 AVSS_SATA_2 TEMPIN3/TALERT#/GPIO64 T7 A19 USB_PHY_1.2V_2 VSS_56 AJ25
C273 C254 AB18 +1.8V_S5 L59 +1.8VUSB_PHY B19 AJ29
AVSS_SATA_3 USB_PHY_1.2V_3 VSS_57
AC14 AVSS_SATA_4 VIN0/GPIO53 V5 B20 USB_PHY_1.2V_4
*22U/10V_8 *1U/10V_4 AC18 L7 SBK160808T-301Y-S B21
AVSS_SATA_5 VIN1/GPIO54 C499 C496 C242 C234 C230 C182 .1U_4 USB_PHY_1.2V_5
AC19 AVSS_SATA_6 VIN2/GPIO55 M8 PCIE_VSS_1 D27
AD12 AVSS_SATA_7 VIN3/GPIO56 V6 PCIE_VSS_2 D28
AD19 M6 22U/10V_8 1U/10V_6 .1U_4 .1U_4 .1U_4 +1.8V R60 *0_4 AA27 D29
B AVSS_SATA_8 VIN4/GPIO57 R61 0_4 CPU_PWR PCIE_VSS_3 B
AD21 AVSS_SATA_9 VIN5/GPIO58 P4 +1.2V PCIE_VSS_4 F26
AE12 AVSS_SATA_10 VIN6/GPIO59 M7 CPU_PWR=1.8V WHEN SB600 AE11 V5_VREF PCIE_VSS_5 G23
+1.8V L16 +1.8V_ATA AE21 V7 G24
AVSS_SATA_11 VIN7/GPIO60 CPU_PWR=1.2V WHEN SB460 PCIE_VSS_6
*TI201209G121_8@SATA AF11 +5V R112 1K/F_4 V5_VREF A24 G25
AVSS_SATA_12 AVDDCK_3.3V PCIE_VSS_7
AF14 AVSS_SATA_13 PCIE_VSS_8 H27
AF16 C285 C266 A22 J23
C225 C256 C228 C244 C231 C237 C253 AVSS_SATA_14 AVDDCK_1.2V PCIE_VSS_9
AF18 AVSS_SATA_15 AVDD N1 +3V 2 1 PCIE_VSS_10 J26
AG11 1U/10V_6 .1U_4 B22 J28
*22U/10V_8 *1U/10V_6 *1U/10V_6 *.1U_4 *.1U_4 *.1U_4 *.1U_4 AVSS_SATA_16 D5 SW1010C AVSSCK PCIE_VSS_11
AG12 AVSS_SATA_17 AVSS M1 PCIE_VSS_12 K27
AG13 AVSS_SATA_18 V29 PCIE_VSS_42 PCIE_VSS_13 L22
AG14 AVSS_SATA_19 V28 PCIE_VSS_41 PCIE_VSS_14 L23
AG16 AVSS_SATA_20 V27 PCIE_VSS_40 PCIE_VSS_15 L24
AG17 +1.8V L57 AVDD_CK_1.8V V26 L27
AVSS_SATA_21 PCIE_VSS_39 PCIE_VSS_16
AG18 AVSS_SATA_22 V25 PCIE_VSS_38 PCIE_VSS_17 L28
AG19 SBK160808T-301Y-S V24 M21
AVSS_SATA_23 PCIE_VSS_37 PCIE_VSS_18

2
R101 0_6@PATA XTLVDD_ATA AG20 C491 C493 C492 V23 M24
AVSS_SATA_24 PCIE_VSS_36 PCIE_VSS_19
AG21 AVSS_SATA_25 V22 PCIE_VSS_35 PCIE_VSS_20 M27
R102 0_6@PATA PLLVDD_ATA AH10 AVSS_SATA_26 300ohm(200mA) 2.2U/10V_8 1U/10V_4 .1U_4 U27 PCIE_VSS_34 PCIE_VSS_21 N27

1
AH19 AVSS_SATA_27 T29 PCIE_VSS_33 PCIE_VSS_22 N28
R83 0_8@PATA +1.8V_ATA T28 P22
PCIE_VSS_32 PCIE_VSS_23
T27 PCIE_VSS_31 PCIE_VSS_24 P23
T24 PCIE_VSS_30 PCIE_VSS_25 P24
SB460 T21 P25
PDD[0..15] PCIE_VSS_29 PCIE_VSS_26
PDD[0..15] (22) P27 PCIE_VSS_28 PCIE_VSS_27 P26

SB460

A A

CLG PROJECT : ZR3


Quanta Computer Inc.
Size Document Number Rev
Custom SB460M HDD/POWER/DECOUPLING 1A

Date: Friday, September 08, 2006 Sheet 15 of 31


5 4 3 2 1
5 4 3 2 1

+3V +3V_S5 +3V +3V +3V +3V +3V_S5 +3V +3V +3V +3V +3V

R403 R141 R148 R401 R409 R163 R150 R405 R406 R160 R162 R80
*10K_4 10K_4 *10K_4 10K_4 10K_4 *10K_4 10K_4 *10K_4 *10K_4 10K_4 *10K_4 *10K_4

(14) AC_SDOUT (13) AUTO_ON#

D (13) RTC_CLK (13) SB_SPDIF_OUT D

(13) PCICLK4 (13,18) PCLK_PCM

(13) PCICLK6 (13) PCLK_SIO

(13,20,26) PCLK_MINI (13,17) PCLK_LAN

(13,25) PCLK_591 (13,25) LFRAME#/FWH4

R404 R142 R158 R400 R408 R164 R151 R399 R407 R159 R161 R79
10K_4 *10K_4 10K_4 *10K_4 *10K_4 10K_4 *10K_4 10K_4 10K_4 *10K_4 10K_4 10K_4

PCLK_MINI PCLK_591
AUTO_ON# SB_SPDIF_OUTPCLK_PCM PCLK_SIO PCLK_LAN LFRAME#
AC_SDOUT RTC_CLK PCI_CLK4 PCI_CLK6 PCI_CLK0 PCI_CLK1

C
REQUIRED PULL USE INTERNAL USE INT. CPU IF=K8
ROM TYPE: ACPWRON SPDIF_OUT PCI_CLK2 PCI_CLK3 PCI_CLK5 LFRAME#
C
H, H = PCI ROM
STRAPS HIGH DEBUG
STRAPS
RTC PLL48
H, L = LPC TYPE I ROM DEFAULT
PULL MANUAL SIO 24MHz XTAL MODE USB PHY PCIE_CM_SET
HIGH
ENABLE
HIGH PWR ON POWERDOWN THERMTRIP#
DEFAULT DEFAULT NOT DISABLE
L, H = LPC TYPE II ROM SUPPORTED
DEFAULT DEFAULT
PULL IGNORE EXTERNAL USE EXT. CPU IF=P4 L, L = FWH ROM
DEBUG RTC 48MHZ NOTE:FOR SB460,PCICLK[8:7]
LOW AUTO SIO 48MHz 48MHZ OSC PCIE_CM_SET DISABLE
STRAPS ARE CONNECTED TO SUBSTRATE PULL USB PHY
BALLS PCICLK[1:0] LOW PWR MODE POWERDOWN HLOW THERMTRIP#
DEFAULT DEFAULT
ON ENABLE
DEFAULT DEFAULT DEFAULT DEFAULT
BIOS ENABLE AFTER STARTUP
+3V +3V +3V +3V +3V +3V +3V

R322 R391 R394 R157 R380 R410 R373


10K_4 *10K_4 *10K_4 *10K_4 *10K_4 *10K_4 *10K_4

(15,22) PDDACK#

B (13,17,18,20) AD28 B

(13,17,18,20) AD27

(13,17,18,20) AD26

(13,17,18,20) AD25

(13,17,18,20) AD24

(13,17,18,20) AD23

R321 R392 R395 R156 R381 R411 R376


*10K_4 *10K_4 10K_4 10K_4 10K_4 10K_4 *10K_4

PDACK# PCI_AD28 PCI_AD27 PCI_AD26 PCI_AD25 PCI_AD24 PCI_AD23

DEBUG PULL USE


LONG
Reserved BYPASS
PCI PLL
BYPASS ACPI
BCLK
BYPASS IDE
PLL
USE EEPROM
PCIE STRAPS Reserved
HIGH
A
STRAPS RESET
DEFAULT A

PULL USE USE PCI USE ACPI USE IDE USE DEFAULT
LOW SHORT PLL BCLK PLL PCIE STRAPS
RESET PROJECT : ZR3

CLG DEFAULT DEFAULT DEFAULT DEFAULT

Size
Custom

Date:
Document Number
Quanta Computer Inc.
SB460M STRAPS
Friday, September 08, 2006 Sheet 16 of 31
Rev
1A

5 4 3 2 1
5 4 3 2 1

+3V_S5 +3VS5_LVDD
ID Select : AD18
Interrupt Pin : INTF# L24 BK1608HS220_6

Request Indicate : REQ0# C332 C335 C346 C344 C280 C323 C333 C314 C310

Grant Indicate : GNT0# +3VS5_LAVDD +2.5VS5_LVDD


22U_1206 .1U_4 10U_8 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4

D +3V_S5 +3VS5_LAVDD D

120
L23 BK1608HS220_6

20

16

10

12
3
7
U6 +3VS5_LVDD
C272 C315 C284

AVDD33
AVDDL
AVDD33

AVDD25
NC

NC
NC
26 +3VS5_LVDD
VDD33 10U_8 .1U_4 .1U_4
(13,16,18,20,26) AD[0..31] VDD33 41
AD0 104 56
AD0 VDD33

1
AD1 103 AVDDL AVDDH 71
AD2 AD1 VDD33 Q8
102 AD2
VDD33
VDD33 84
AD3 98 94 CTRL25 2
AD4 AD3 VDD33 +2.5VS5_LVDD 2SB1197KR
97 AD4 VDD33 107
AD5 96 RTL8110SBL +2.5VS5_LVDD
AD6 AD5
95 AD6 VDD25 32

3
AD7 93 VDD33---+3.3V DIGITAL 54
AD8 AD7 AVDDH------+3.3V ANALOG DVDD VDD25
90 AD8 VDD25 78
AD9 89 AVDDL-----+2.5V ANALOG 99 C340 C304 C330 C313 C292 C343 C336
AD10 AD9 VDD25
87 AD10
DVDD-----+1.2V DIGITAL
AD11 86 DVDD_A----+1.2V ANALOG 45 22u_1206 10U_8 .1U_4 .1U_4 .1U_4 .1U_4 .1U_4
AD12 AD11 NC
85 AD12 NC 64
AD13 83 116
AD14 AD13 DVDD NC +5V
82 AD14 NC 110
AD15 79 24
AD16 AD15 PCI NC
59 AD16
AD17 58 126 R143
AD18 AD17 RTL8100CL DVDD_A NC U13
57 AD18
AD19 55
AD20 AD19 RX+ T_RX+
C 53 AD20
VDD33---+3.3V DIGITAL 1 RD+ RX+ 16 C
AD21 50 AVDDL-----+3.3V ANALOG 31 LAN_PME# 1K_4
AD22 AD21 PMEB ISOLATEB RX- MCT1
49 AD22
DVDD-----+2.5V DIGITAL
ISOLATEB 23 2 RD- CT 14
AD23 47 AVDDH------+2.5V ANALOG 105
AD23 LWAKE T55
AD24 43 R146 V_DAC 3 15 T_RX-
AD25 AD24 CT RX-
42 AD25
AD26 40 PM 8 CTRL25 TX+ 7 9 T_TX-
AD27 AD26 CTRL25 TD+ TX-
39 AD27 NC 125
AD28 37 74 15K/F_4 C419 TX- 8 11 MCT0
AD29 AD28 NC TD- CMT
36 AD29 NC 72
AD30 34 88 .1U_6 6 10 T_TX+
AD31 AD30 NC CT TX+ R239 R236
33 AD31 NC 11

CBE0# 92 NS681687 75/F_4 75/F_4


(13,18,20,26) CBE0# CBE0B
(13,18,20,26) CBE1#
CBE1# 77 CBE1B NC 19 8/16 Reserve circuit For close Lan chips.
CBE2# 60 18 Near Transformer
(13,18,20,26) CBE2# CBE2B NC
CBE3# 44 15
(13,18,20,26) CBE3# CBE3B NC
STOP# 69 14 C420
(13,18,20) STOP# STOPB NC
PERR# 70 MII 6 RX- R444 *49.9/F_4 C559 *.1U_6 RX- R235 49.9/F_4 C417 .1U_6
(13,18,20) PERR# PERRB RX-
TRDY# 67 5 RX+ R443 *49.9/F_4 RX+ R234 49.9/F_4 1000P/3KV_1808
(13,18,20,26) TRDY# TRDYB RX+
DEVSEL# 68 2 TX- R113 49.9/F_4 C289 .1U_6 TX- R445 *49.9/F_4 C560 *.1U_6
(13,18,20,26) DEVSEL# DEVSELB TX-
AD18 R171 100_4 46 1 TX+ R114 49.9/F_4 TX+ R446 *49.9/F_4
FRAME# IDSEL TX+
(13,18,20,26) FRAME# 61 FRAMEB
IRDY# 63 113 Near Lan chipset
(13,18,20,26) IRDY# IRDYB NC CN6
SERR# 75 114
(13,18,20) SERR# SERRB LED2
REQ0# 30 LED 115 LANLINK#
(13) REQ0# REQB LED1 +3V_S5
(13,18,20,25,26) PCIRST# PCIRST# 27 117 LANACT# LANACT# 10
GNT0# RSTB LED0 YELLOW_N
B (13) GNT0# 29 GNTB B
INTE# 25 R237 220_4 9
(13,20) INTE# INTAB YELLOW_P
PAR 76 121 LAN_XIN C276 27P_4
(13,18,20) PAR PAR XTAL1
R103 5.6K/F_4 127 OSC 122 LAN_XOUT
RSET XTAL2

1
CLKRUN# R168 0_4 65 R108 Y1
(13,20,25) CLKRUN# CLKRUNB R241 *75/F_4 8
EESEL TX3-
EECS 106
111 EECLK *1M_4 25.0000 MHz 7
EESK TX3+

2
EEPROM 109 EEDI
PCLK_LAN EEDI EEDO C275 27P_4 T_RX-
(13,16) PCLK_LAN 28 CLK EEDO 108 6 TX1-
R154 R242 *75/F_4 5 14
TX2- GND2
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

4 13
NC
NC
NC
NC
NC
NC
NC
NC

TX2+ GND1
*22_4 8/16 Change 27P To Match the frequency stability
T_RX+ 3 TX1+
4
35
52
100
80
17
128
124
21
51
66
81
91
101
38
119
123
62
13
48
73
9
22
112
118

C331 RTL8100CL T_TX- 2


*10P_4 TX0-
T_TX+ 1 TX0+

+3V_S5 LANLINK# 12 GREEN_N


+3V_S5 R240 220_4 11
+3VS5_LVDD GREEN_P

A R106 RJ45 A
C288 *100P_4 +3V_S5
U4 R155
EESEL 1 8
3.6K_4 EECLK CS VCC
2 7
SK NC PROJECT : ZR3
1

EEDI 3 6 C307 *10K_4


EEDO DI NC
4 DO GND 5
.1U_4 LAN_PME#
LAN_PME# (25)
Quanta Computer Inc.
2

93C46-3GR

LAN 5 4 3 2
Size

Date:
Document Number
LAN RTL8110SBL/8100CL
Friday, September 08, 2006
1
Sheet 17 of 31
Rev
1A
5 4 3 2 1

+3V
09/07 Stuff R201,C391 in materials For EMI Situation.
PCLK_PCM R201 22_4 PCLK_PCM_R C391 10p_4

C389 C397 C390 C375 C372 SDCLK1


SDCLK1 (12)
.1U_4 .1U_4 .1U_4 .1U_4 .1U_4 R178
INTH# VCCD1#
(13) INTH#
INTG# VCCD0#
(13) INTG#
SERIRQ *0_6
(13,20,25) SERIRQ
PCM_PME# VPPD1
+3V PCMSPK VPPD0
(23) PCMSPK
PCIRST# R197 0_4 PCM_GRST#
D REQ3# +3V A_CRSVD/D2 D
(13) REQ3# A_CRSVD/D2 (19)
GNT3# A_CRSVD/D14
(13) GNT3# A_CRSVD/D14 (19)
C368 C350 C348 C367 C374 AD17 R196 100_4 PCM_IDSEL A_CRSVD/A18
A_CRSVD/A18 (19)
PCIRST# R185
(13,17,20,25,26) PCIRST#
.1U_4 .1U_4 .1U_4 .1U_4 .1U_4 PCLK_PCM A_CCD1# A_CCD1#
(13,16) PCLK_PCM A_CCD1# (19)
A_CCD2# A_CCD2#
A_CCD2# (19)
FRAME# 43K_4
(13,17,20,26) FRAME#
IRDY# A_CVS1# C377 C351
(13,17,20,26) IRDY# A_CVS1# (19)
TRDY# A_CVS2#
(13,17,20,26) TRDY# A_CVS2# (19)
DEVSEL#
(13,17,20,26) DEVSEL#
STOP# XDPWREN#MSPWREN# 10P_4 10P_4
+3V (13,17,20) STOP# XDPWREN#MSPWREN# (19)
PERR# XDDATA1/MSBS
(13,17,20) PERR# XDDATA1/MSBS (19)
SERR# XDDATA2/MSDATA0
(13,17,20) SERR# XDDATA2/MSDATA0 (19)

PCM_SUS#
XDDATA3/MSDATA3
XDDATA3/MSDATA3 (19)
2

Q10 XDRE#/MSCLK
XDRE#/MSCLK (19)
XDDATA5/MSDATA2
XDDATA5/MSDATA2 (19)
XDDATA6/MSDATA1
XDDATA6/MSDATA1 (19)
MSINX#
MSINX# (19)
(14,20) PME# 3 1 PCM_PME#

M10

M11

M13

M12
N11

N10

N13

N12

E10
L11

L10

L12
J13
*DTC144EU

M1

M9
G4

G9

G8
H1

N9

H5

C6
D9

H8

H9
H7
K3
K1

B1
A1

K9

K8

A2

A4

E9
F4

F9
L3
L2
L1

L8
J4

J9

J8
U9

SPKROUT
SERR#
PERR#
STOP#
DEVSEL#
TRDY#
IRDY#
FRAME#

PCIRST#

IDSEL

PCIGNT#
PCIREQ#

G_RST#

SUSPEND#

RI_OUT#/PME#

MFUNC6
MFUNC5
MFUNC4
MFUNC3
MFUNC2
MFUNC1
MFUNC0
MFUNC7
SDCLKI

VCCD1#
VCCD0#

VPPD1
VPPD0

RSVD/D2

CCD1#/CD1#
CCD2#/CD2#

CVS1/VS1
CVS2/VS2

SMPWREN#/MSPWREN

MSINX#
PCICLK

RSVD/D14
RSVD/A18

SMDATA1/MSBS
SMDATA2/MSDATA0
SMDATA3/MSDATA3
SMRE#/MSCLK
SMDATA5/MSDATA2
SMDATA6/MSDATA1
AD[0..31] A_CAD[0..31]
(13,16,17,20,26) AD[0..31] A_CAD[0..31] (19)
AD0 N8 B2 A_CAD31
AD1 AD0 CAD31/D10 A_CAD30
K7 AD1 CAD30/D9 C3
AD2 L7 B3 A_CAD29
AD3 AD2 CAD29/D1 A_CAD28
N7 AD3 CAD28/D8 A3
AD4 M7 C4 A_CAD27
AD5 AD4 CAD27/D0 A_CAD26
N6 AD5 CAD26/A0 A6
C AD6 M6 D7 A_CAD25 C
AD7 AD6 CAD25/A1 A_CAD24
K6 AD7 CAD24/A2 C7
AD8 M5 A8 A_CAD23
AD9 AD8 CAD23/A3 A_CAD22
L5 AD9 CAD22/A4 D8
AD10 K5 A9 A_CAD21
AD11 AD10 CAD21/A5 A_CAD20
M4 AD11 CAD20/A6 C9
AD12 K4 A10 A_CAD19
AD13 AD12 CAD19/A25 A_CAD18
N3 AD13 CAD18/A7 B10
AD14 M3 D10 A_CAD17
AD15 AD14 CAD17/A24 A_CAD16
N2 E12
ENE714 AJ007140T08 AD16 J2
AD15 CAD16/A17
F10 A_CAD15
AD17 AD16 CAD15/IOWR# A_CAD14
J1 E13
ENE1410 AJ014100T41 AD18 H4
AD17 CAD14/A9
F13 A_CAD13
AD19 AD18 CAD13/IORD# A_CAD12
H3 AD19 CAD12/A11 F11
AD20 G3 G10 A_CAD11
AD21 AD20 CAD11/OE# A_CAD10
G2 AD21 CAD10/CE2# G11
AD22 F1 G12 A_CAD9
AD23 AD22 CAD9/A10 A_CAD8
F2 AD23 CAD8/D15 H12
AD24 E2 H10 A_CAD7
AD25 AD24 CAD7/D7 A_CAD6
E3 AD25 CAD6/D13 J11
AD26 E4 J12 A_CAD5
AD27 AD26 CAD5/D6 A_CAD4
D1 AD27 CAD4/D12 K13
AD28 D2 J10 A_CAD3
AD29 AD28 CAD3/D5 A_CAD2
D4 AD29 CAD2/D11 K10
AD30 C1 K12 A_CAD1
AD31 AD30 CAD1/D4 A_CAD0
C2 AD31 CAD0/D3 L13

CSTSCHG/BVD1/STSCHG#

CCLKRUN#/WP/IOIS16#
CBE0#

CAUDIO/BVD2/SPKR#
(13,17,20,26) CBE0# N5

CINT#/READY/IREQ#
B CBE1# CBE0# B
N1

SMDATA7/SDDAT0

SMDATA4/SDDAT3
SMDATA0/SDDAT1
(13,17,20,26) CBE1# CBE1#
CBE2# J3 H13 A_CC/BE0#

CREQ#/INPACK#
(13,17,20,26) CBE2# A_CC/BE0# (19)

SMWPD#/SDWP
SMCLE/SDDAT2
CBE2# CCBE0#/CE1#

CSERR#/WAIT#

SMALE/SDCMD
CDEVSEL#/A21

SMWE#/SDCLK
CBE3# E1 E11 A_CC/BE1#

CRST#/RESET
CFRAME#/A23

SDPWREN33#
CBLOCK#/A19
(13,17,20,26) CBE3# CBE3# CCBE1#/A8 A_CC/BE1# (19)

CPERR#/A14

CSTOP#/A20

CTRDY#/A22
PAR M2 A11 A_CC/BE2#

CGNT#/WE#

CIRDY#/A15
(13,17,20) PAR PAR CCBE2#/A12 A_CC/BE2# (19)
B7 A_CC/BE3#

CCLK/A16
CCBE3#/REG# A_CC/BE3# (19)

GND_SD

SMBSY#
VCC_SD
A_CPAR

SMWP#
D13

SMCD#
SMCE#

SDCD#
VCCA1
VCCA2

A_CPAR (19)
VCC10

CPAR/A13
GND1
GND2
GND3
GND4
GND5
GND6
GND7
GND8

VCC1
VCC2
VCC3
VCC4
VCC5
VCC6
VCC7

VCC8
VCC9

CB714/1410
D3
H2
L4
M8
K11
F12
C10
B6

F3
G1
K2
N4
L6
L9
H11

G13
A7
D12
C8
B4

E7
G5

B5
C5
D6
D11

C11
B8

A5
C13

C12
B13
A13
A12
B11

D5
B9
B12

H6
J5
J6
J7
F5
E5
E6
F6
G6
F7
F8
E8
G7
SDPWREN33#
SDPWREN33# (19)
SDCD#
SDCD# (19)
SDWP
+3V SDWP (19)
U10 XDDATA0/SDDAT1
XDDATA0/SDDAT1 (19)
XDDATA4/SDDAT3
XDDATA4/SDDAT3 (19)
VCCD0# 1 16 +3V AVCC +3V XDWE#/SDCLK
VCCD0# SHDN# XDWE#/SDCLK (19)
VCCD1# 2 15 VPPD0 XDDATA7/SDDAT0
VCCD1# VPPD0 XDDATA7/SDDAT0 (19)
+3V 3 14 VPPD1 XDALE/SDCMD
3.3V VPPD1 XDALE/SDCMD (19)
4 13 XDCLE/SDDAT2
3.3V AVCC XDCLE/SDDAT2 (19)
+5V 5 12 AVCC +3V_CRVCC XDCD#
5V AVCC XDCD# (19)
6 11 XDWP#
5V AVCC XDWP# (19)
7 10 AVPP XDCE#
GND AVPP XDCE# (19)
8 9 XDBSY#
OC# 12V XDBSY# (19)
R_A_CCLK R186 10_4 A_CCLK
A_CCLK (19)
A_CRST#
ENE CP-2211 A_CCLKRUN#
A_CRST# (19)
A_CCLKRUN# (19)
A A_CFRAME# A
A_CFRAME# (19)
A_CIRDY#
A_CIRDY# (19)
A_CTRDY#
A_CTRDY# (19)
A_CDEVSEL#
+5V +3V AVCC AVPP +3V_CRVCC A_CDEVSEL# (19)
A_CSTOP#
A_CSTOP# (19)
A_CPERR#
A_CSERR#
A_CPERR# (19)
A_CSERR# (19)
PROJECT : ZR3
A_CREQ#
A_CREQ# (19)
C386 C385 C359 C384 C360 C362 C369 C349 C371 C361 C363 C364 C388 A_CGNT#
A_CBLOCK#
A_CGNT# (19)
A_CBLOCK# (19)
Quanta Computer Inc.
4.7U_6 .1U_4 4.7U_6 .1U_4 4.7U_6 .1U_4 .1U_4 .1U_4 .1U_4 4.7U_6 .1U_4 .1U_4 .1U_4 A_CINT#
A_CINT# (19)
A_CSTSCHG Size Document Number Rev
A_CSTSCHG (19)
A_CAUDIO ENE CB714/1410 1A
A_CAUDIO (19)
Date: Friday, September 08, 2006 Sheet 18 of 31
5 4 3 2 1
5 4 3 2 1

+3V_CRVCC +3V_CRVCC

T
D
-
S
T
D
-
T
O
P
v
i
e
w
CN4

1 23 XDCD# AVCC
(1)MS-GND (19)XD-CD XDCD# (18)
XDDATA1/MSBS 2 24
XDDATA6/MSDATA1 (2)MS-BS (1)XD-GND XDBSY# R169 43K_4 A_CAD11
XDDATA2/MSDATA0
3 (3)MS-DATA1 1 0
2 3 4 5 6 7 8 9 1 (2)XD-R/B 25
XDRE#/MSCLK
XDBSY# (18)
4 (4)MS-DATA0 (3)XD-RE 26 XDRE#/MSCLK (18)
XDDATA5/MSDATA2 5 27 XDCE#
(5)MS-DATA2 (4)XD-CE XDCE# (18)
MSINX# 6 28 XDCLE/SDDAT2
(18) MSINX# (6)MS-INS (5)XD-CLE XDCLE/SDDAT2 (18)
R450 0_4 XDDATA3/MSDATA3 7 29 XDALE/SDCMD
(7)MS-DATA3 (6)XD-ALE XDALE/SDCMD (18)
XDRE#/MSCLK 8 30 XDWE#/SDCLK
(8)MS-SCLK (7)XD-WE XDWE#/SDCLK (18)
9 31 XDWP#
(9)MS-VCC (8)XD-WP XDWP# (18) AVCC
10 (10)MS-GND (9)XD-GND 32
XDCLE/SDDAT2 3 1 XDCLE/SDDAT2_SW 11 1 0 2 3 33 XDDATA0/SDDAT1 CN19
(9)SD-DAT2 A A A A (10)XD-D0 XDDATA0/SDDAT1 (18)
XDDATA4/SDDAT3 12 (1)SD-DAT3 D
N T T T T K
L C D (11)XD-D1 34 XDDATA1/MSBS
XDDATA1/MSBS (18)
A A A A C
D S C AVPP
G S V N
Q25 XDALE/SDCMD 13 35 XDDATA2/MSDATA0 1 17
D D I D
N
- S
XDDATA2/MSDATA0 (18)
- B
(2)SD-CMD G (12)XD-D2 GND1 SKTA/VCC1
*2N7002 14 (3)SD-GND - - S
S S - - - - - - (13)XD-D3 36 XDDATA3/MSDATA3
XDDATA3/MSDATA3 (18) (18) A_CAD0
A_CAD0 2 SKTAAD0/D3 SKTA/VCC2 51
M M S S S S S S S
2
SDCD_SW 15 37 XDDATA4/SDDAT3 A_CAD1 3
D
XDWE#/SDCLK 16
(4)SD-VCC M M M M M M M M (14)XD-D4
38 XDDATA5/MSDATA2
XDDATA4/SDDAT3 (18) (18) A_CAD1
A_CAD3 4
SKTAAD1/D4
18
D
(5)SD-CLK (15)XD-D5 XDDATA5/MSDATA2 (18) (18) A_CAD3 SKTAD3/D5 SKTA/VPP1
17 39 XDDATA6/MSDATA1 A_CAD5 5 52
(6)SD-GND (16)XD-D6 XDDATA6/MSDATA1 (18) (18) A_CAD5 SKTAD5/D6 SKTA/VPP2
40 XDDATA7/SDDAT0 A_CAD7 6
(17)XD-D7 XDDATA7/SDDAT0 (18) (18) A_CAD7 SKTAAD7/D7
XDDATA7/SDDAT0 19 41 A_CC/BE0# 7
(7)SD-DAT0 (18)XD-VCC (18) A_CC/BE0# -SKTACBE0/CE1#
R449 0_4 XDDATA0/SDDAT1_SW 20 A_CAD9 8
SDCD# 21
(8)SD-DAT1 8 7 6 5 4 3 2 1 43 SDWP
(18) A_CAD9
A_CAD11 9
SKTAAD9/A10
69
(18) SDCD#
22
SD-CD 9 SD-WP
44
SDWP (18) (18) A_CAD11
A_CAD12 10
SKTABAD11/OE# GND5
70
SD-CD-COM SD-WP-COM (18) A_CAD12 SKTAAD12/A11 GND6
A_CAD14 11 71
(18) A_CAD14 SKTAAD14/A9 GND7
XDDATA0/SDDAT1 3 1 A_CC/BE1# 12 72
(18) A_CC/BE1# -SKTACBE1/A8 GND8
A_CPAR 13 73
(18) A_CPAR SKTAPAR/A13 GND9
Q24 A_CPERR# 14 74
(18) A_CPERR# -SKTAPERR/A14 GND10
*2N7002 1
T 0
T D 3
T
A_CGNT# 15
D K C D 2 (18) A_CGNT# -SKTAGNT/WE#
2

SDCD_SW A A N L C N M A T A_CINT# 16
D D G C V G C D A (18) A_CINT# -SKTAINT/RDY
- - - - - - - - D
D D D D D D D D - UPPER PIN
S S S S S S S S D A_CCLK
S (18) A_CCLK
A_CIRDY#
19 SKTAPCLK/A16
(18) A_CIRDY# 20 -SKTAIRDY/A15
A_CC/BE2# 21
15V (18) A_CC/BE2# -SKTACBE2/A12
A_CAD18 22
(18) A_CAD18 SKTAAD18/A7
A_CAD20 23
8 5 0
1 5 9
2 1 (18) A_CAD20 SKTAAD20/A6
1 1 1 (18) A_CAD21
A_CAD21 24 SKTAAD21/A5
R448 A_CAD22 25
(18) A_CAD22 SKTAAD22/A4
A_CAD23 26
(18) A_CAD23 SKTAAD23/A3
*10K_4 A_CAD24 27
(18) A_CAD24 SKTAAD24/A2
A_CAD25 28
(18) A_CAD25 SKTAAD25/A1
SDCD_SW A_CAD26 29
(18) A_CAD26 SKTAAD26/A0
A_CAD27 30
(18) A_CAD27 SKTAAD27/D0
A_CAD29 31
(18) A_CAD29 SKTAAD29/D1
8/17 Add the Switch For MS Pro issue Fixed. (18) A_CRSVD/D2
A_CRSVD/D2 32 SKTARSVD/D2
3

A_CCLKRUN# 33
(18) A_CCLKRUN# -SKTACLKRUN/WP
C D E E
L E E B D 34
L C R/ DN
GND2
Q26 C
V 7 6 5 4 32 1 0N P E A C -
W W - - - RC G
SDCD# D D D D DD D DG -

SDIO-GND1
- - - - - - - - - D D D DD -D
2 35
- - -
D D -
GND3

SDIO-GND
*2N7002
X X D D D D D D D D D D X X X XX DXX (18) A_CCD1#
A_CCD1# 36 -SKTACD1/CD1#
45 X X X XX X XX X X 46 (18) A_CAD2
A_CAD2 37 SKTAAD2/D11
A_CAD4 38
(18) A_CAD4 SKTAD4/D12
A_CAD6 39
(18) A_CAD6 SKTAAD6/D13
1

A_CRSVD/D14 40
(18) A_CRSVD/D14 SKTARSVD/D14
*CR@TAI TWUN CARD READER A_CAD8 41
(18) A_CAD8 SKTAAD8/D15
A_CAD10 42
(18) A_CAD10 SKTAAD10/CE2#
A_CVS1# 43
(18) A_CVS1# -SKTAVS1/VS1#
A_CAD13 44
(18) A_CAD13 SKTAAD13/IORD#
A_CAD15 45
(18) A_CAD15 SKTAAD15/IOWR#
A_CAD16 46
(18) A_CAD16 SKTAAD16/A17
C A_CRSVD/A18 47 C
(18) A_CRSVD/A18 -SKTRSVD/A18

SDCD#
A_CBLOCK# 48
SDWP

(18) A_CBLOCK# -SKTALOCK/A19


A_CSTOP# 49
(18) A_CSTOP# -SKTASTOP/A20
A_CDEVSEL# 50
+3V_CRVCC +3V_CRVCC (18) A_CDEVSEL# -SKTADEVSEL/A21
LOWER PIN
A_CTRDY#
23

22

21

53

20
(18) A_CTRDY# -SKTATRDY/A22
CN5 A_CFRAME# 54
(18) A_CFRAME# -SKTAFRAME/A23
A_CAD17 55
(18) A_CAD17 SKTAAD17/A24
SD-WP SD-CD/WP-COM SD-CD A_CAD19 56
(18) A_CAD19 SKTAAD19/A25
GND1 A_CVS2# 57
(18) A_CVS2# -SKTAVS2VS2#
A_CRST# 58
(18) A_CRST#
1
8

-SKTARST/RESET
XXXXXXXXXX
DDDDDDDDDD
- --- -- - - - - - - - - - -- D
V DDD DD D D D G W W A C C RR
C76543210NPE L
C

A_CSERR# 59
(18) A_CSERR# 0SKTASERR/WAIT#
A_CREQ# 60
(18) A_CREQ# -SKTAREQ/INPACK#
19 XDDATA0/SDDAT1_SW A_CC/BE3# 61
(8)SD-DAT1 (18) A_CC/BE3# -SKTACBE3/REG#
S
D
-
D
A
T
1

A_CAUDIO 62
(18) A_CAUDIO SKTAAUDIO/BVD2
18 XDDATA7/SDDAT0 A_CSTSCHG 63
(18) A_CSTSCHG

1 2 3 4 5 6 7 8 9 1
(7)SD-DAT0 -SKTASTSCHG/BVD1
1
5

M M M M M M M M M M
S S S S S S S S S S
- - - - - -
G B
N S A
D

43 A_CAD28 64
(18)XD-VCC (18) A_CAD28 SKTAAD28/D8
S
D
-
D
A
T
0

XDDATA7/SDDAT0 42 17 A_CAD30 65
(17)XD-D7 (10)MS-GND (18) A_CAD30 SKTAAD30/D9
XDDATA6/MSDATA1 41 16 A_CAD31 66
(16)XD-D6 (6)SD-GND (18) A_CAD31 SKTAAD31/D10
XDDATA5/MSDATA2 40 A_CCD2# 67
(15)XD-D5 (18) A_CCD2# -SKTACD2/CD2#
S
D
-
G
N
D

D D D I
T T T S T L
A A A
1 0 2

XDDATA4/SDDAT3 39 15 XDDATA1/MSBS 68
XDDATA3/MSDATA3 (14)XD-D4 (2)MS-BS GND4
38 (13)XD-D3
A A N A C C N

XDDATA2/MSDATA0 37 14 XDWE#/SDCLK
(12)XD-D2 (5)SD-CLK
S
D
-
C
L
K

XDDATA1/MSBS 36 13 XDDATA6/MSDATA1 PCMCIA_SOCKET


(11)XD-D1 (3)MS-DATA1
1
0

XDDATA0/SDDAT1 35 12 XDDATA2/MSDATA0
(10)XD-D0 (4)MS-DATA0
34 11
D

(9)XD-GND (4)SD-VCC
S
D
-
V
C
C

XDWP# 33 10 XDDATA5/MSDATA2
(8)XD-WP (5)MS-DATA2
X X X X X XX XX
D D D D D DD

XDWE#/SDCLK 32 9 MSINX#
(7)XD-WE (6)MS-INS
- - - -
D S V G
A K C D
3

XDALE/SDCMD 31 8
(6)XD-ALE (3)SD-GND
S
D
-
G
N
D

XDCLE/SDDAT2 30 7 XDDATA3/MSDATA3
XDCE# (5)XD-CLE (7)MS-DATA3
E L

29 (4)XD-CE
XDRE#/MSCLK 28 6 XDALE/SDCMD
5

(3)XD-RE (2)SD-CMD
E E EB DD

S
D
-
C
M
D

XDBSY# 27 5 XDRE#/MSCLK
XDCD# (2)XD-R/B (8)MS-SCLK
26 (19)XD-CD (9)MS-VCC 4
0

25 3 XDDATA4/SDDAT3
1

(1)XD-GND (1)SD-DAT3
S
D
-
D
A
T
3

(1)MS-GND 2
21
/ CN

1 XDCLE/SDDAT2_SW
(9)SD-DAT2
S
D
-
D
A
T
2

9
91
--
D
G

P
R
O
-
S
T
D
-
T
O
P
v
i
e
w

B GND2 B

PROCONN CARD READER


24

+3V +3V +3V_CRVCC

Q11
R200 1 8
GND OUT
SDCD#

2 7
SDWP

IN OUT C382
3 IN OUT 6
43K_4
+3V_CRVCC +3V_CRVCC XDPWREN#MSPWREN# R199 0_4 4 5
(18) XDPWREN#MSPWREN# EN# OUTNC
SDPWREN33# R198 0_4 .1U_4
(18) SDPWREN33#
G545B2P8U
22

23

20

CN28

SD-WP SD-CD
GND1
1
8
X X XX X X X X X X
D D DD D D D D D D
- - -- - - - - - -- - - - - -- D
V D DD D D D D D GW W A C C RR
C 7 65 4 3 2 1 0 NP E L
C

19 XDDATA0/SDDAT1_SW
(8)SD-DAT1
S
D
-
D
A
T
1

18 XDDATA7/SDDAT0
1
1

(7)SD-DAT0
1
5

M M M M M M M M M M
S S S S S S S S S S
- - - - - -
G B
N S A
D

43 (18)XD-VCC
S
D
-
D
A
T
0

XDDATA7/SDDAT0 42 17
(17)XD-D7 (10)MS-GND
2 3 4 5 6 7 8 9 1

XDDATA6/MSDATA1 41 16 +3V_CRVCC
XDDATA5/MSDATA2 (16)XD-D6 (6)SD-GND +3V_CRVCC
40 (15)XD-D5
S
D
-
G
N
D

D D D I
T T T S T L
A A A
1 0 2

XDDATA4/SDDAT3 39 15 XDDATA1/MSBS XDCE# R221 2.2K_4


XDDATA3/MSDATA3 (14)XD-D4 (2)MS-BS XDWE#/SDCLK R218 10K_4
38 (13)XD-D3
A A N A C C N

XDDATA2/MSDATA0 37 14 XDWE#/SDCLK XDBSY# R223 10K_4


(12)XD-D2 (5)SD-CLK
S
D
-
C
L
K

XDDATA1/MSBS 36 13 XDDATA6/MSDATA1 C352 C345 C355 C422 C416 XDRE#/MSCLK R222 10K_4
(11)XD-D1 (3)MS-DATA1
1
0

XDDATA0/SDDAT1 35 12 XDDATA2/MSDATA0 XDALE/SDCMD R219 *43K_4


(10)XD-D0 (4)MS-DATA0 XDCLE/SDDAT2 R220 *43K_4
34 11
D

(9)XD-GND (4)SD-VCC
S
D
-
V
C
C

XDWP# 33 10 XDDATA5/MSDATA2 .1U_4 .1U_4 .1U_4 .1U_4 10U/25V_12 XDDATA0/SDDAT1 R216 *43K_4
(8)XD-WP (5)MS-DATA2
X X X X X XX XX
D D D D D DD

XDWE#/SDCLK 32 9 MSINX# XDDATA4/SDDAT3 R215 *43K_4


(7)XD-WE (6)MS-INS
- - - -
D S V G
A K C D
3

XDALE/SDCMD 31 8 XDDATA7/SDDAT0 R214 *43K_4


(6)XD-ALE (3)SD-GND
S
D
-
G
N
D

XDCLE/SDDAT2 30 7 XDDATA3/MSDATA3
XDCE# (5)XD-CLE (7)MS-DATA3 XDWP# R217 2.2K_4
E L

29 (4)XD-CE
XDRE#/MSCLK 28 6 XDALE/SDCMD 9/07 Add capacitor tolerance for worst environment.
5

(3)XD-RE (2)SD-CMD
E E EB DD

S
D
-
C
M
D

A XDBSY# 27 5 XDRE#/MSCLK A
XDCD# (2)XD-R/B (8)MS-SCLK
26 (19)XD-CD (9)MS-VCC 4
0

25 3 XDDATA4/SDDAT3
1

(1)XD-GND (1)SD-DAT3
S
D
-
D
A
T
3

(1)MS-GND 2
21
/ CN

1 XDCLE/SDDAT2_SW
(9)SD-DAT2
S
D
-
D
A
T
2

9
91
--
D
G

N
o
r
t
h
S
t
a
r
-
S
T
D
-
T
O
P
v
i
e
w

GND2
PROJECT : ZR3
*NS CARD READER_N
24

MPC Size

Date:
Document Number
Quanta Computer Inc.
CARD READ & CARDBUS SLOT
Friday, September 08, 2006 Sheet 19 of 31
Rev
1A

5 4 3 2 1
1 2 3 4 5 6 7 8

+3V +5V
ID Select : AD20
Interrupt Pin

Grant Indicate
: INTF# , INTG#
Request Indicate : REQ2#
: GNT2# 1
CN24
TIP
MINI-PCI

MINIPCI
RING 2
C533

.1U_4
C532

.1U_4
C543

.1U_4
C544

.1U_4
C546

.1U_4
C545

.1U_4
USB +5V_S5

2
U3
IN1 OUT3 8
+5VS5_USB
+5V_S5 +5V_S5

3 4 3 7 C270 C271
LAN1 LAN2 IN2 OUT2
5 LAN3 LAN4 6 OUT1 6
+3V 7 8 USBON# R93 0_4 4 .1U_4 .1U_4
LAN5 LAN6 (25) USBON# EN#
9 LAN7 LAN8 10 1 GND
WIRELESS_LED 11 12 +3V R92 9 5 R91 *6.34K/F_4
(26) WIRELESS_LED LED_GP LED_YP GND-C OC#
(25) RF_EN 1 2 13 LED_GN LED_YN 14
D33 BAS316 15 16 TPS2061DGNR
NC1 NC2 *0_4
A
(13,17) INTE# 17 -INTB +5V 18 +5V A
19 +3V -INTA 20 INTF# (13)
21 R(IRQ3) R(IRQ4) 22
23 GND +3VAUX 24 +3VSUS
PCLK_MINI 25 26 PCIRST#
(13,16,26) PCLK_MINI PCICLK -RST PCIRST# (13,17,18,25,26)
27 GND +3V 28
(13) REQ2# 29 -REQ -GNT 30 GNT2# (13,26)
31 +3V GND 32
33 34 M_PME#
(13,17,18) AD31 AD31 -PME +5VS5_USB
(13,17,18) AD29 35 AD29 (V) 36
37 GND AD30 38 AD30 (13,17,18)
(13,16,17,18) AD27 39 AD27 +3V 40
(13,16,17,18) AD25 41 AD25 AD28 42 AD28 (13,16,17,18)
43 44 C503
(V) AD26 AD26 (13,16,17,18)
(13,17,18,26) CBE3# 45 -CBE3 AD24 46 AD24 (13,16,17,18)
+
47 48 R421 150_4 AD20
(13,16,17,18) AD23 AD23 IDSEL
49 50 R333 0_6 100U_3528
GND GND
(13,17,18) AD21 51 AD21 AD22 52 AD22 (13,17,18)
53 54 AD20 CN14
(13,17,18) AD19 AD19 AD20 AD20 (13,17,18)
55 56 L56
GND PAR PAR (13,17,18) 1 5
57 58 1 2 BUSBP4-
(13,17,18) AD17 AD17 AD18 AD18 (13,17,18) (14) USBP4- 1 2 2 6
59 60 4 3 BUSBP4+
(13,17,18,26) CBE2# -CBE2 AD16 AD16 (13,17,18) (14) USBP4+ 4 3 3 7
(13,17,18,26) IRDY# 61 -IRDY GND 62 4 8
63 64 *DLW21HN900SQ2L
+3V -FRAME FRAME# (13,17,18,26)
65 66 USB
(13,17,25) CLKRUN# -CLKRUN -TRDY TRDY# (13,17,18,26)
(13,17,18) SERR# 67 -SERR -STOP 68 STOP# (13,17,18)
69 70 R339 0_6
GND +3V
(13,17,18) PERR# 71 -PERR -DEVSEL 72 DEVSEL# (13,17,18,26)
(13,17,18,26) CBE1# 73 -CBE1 GND 74
(13,17,18) AD14 75 AD14 AD15 76 AD15 (13,17,18,26)
77 GND AD13 78 AD13 (13,17,18,26)
(13,17,18) AD12 79 AD12 AD11 80 AD11 (13,17,18,26)
B (13,17,18) AD10 81 AD10 GND 82 B
83 GND AD9 84 AD9 (13,17,18,26)
(13,17,18,26) AD8 85 AD8 -CBE0 86 CBE0# (13,17,18,26)
87 88 VA
(13,17,18,26) AD7 AD7 +3V
89 90 CN9
+3V AD6 AD6 (13,17,18,26)
91 92 2 1 USBP0-
(13,17,18,26) AD5 AD5 AD4 AD4 (13,17,18,26) 2 1 USBP0- (14)
93 94 4 3 USBP0+
(V) AD2 AD2 (13,17,18,26) 4 3 USBP0+ (14)
(13,17,18,26) AD3 95 AD3 AD0 96 AD0 (13,17,18,26) 6 6 5 5
97 98 8 7 USBP1-
+5V +5V (V) 8 7 USBP1- (14)
99 100 10 9 USBP1+
(13,17,18,26) AD1 AD1 SERIRQ SERIRQ (13,18,25) 10 9 USBP1+ (14)
101 GND GND 102 12 12 11 11
103 104 +5V_S5 14 13 USBP2-
SYNC M66EN 14 13 USBP2- (14)
105 106 16 15 USBP2+
SDIN0 SDOUT 16 15 USBP2+ (14)
107 BITCLK SDIN1 108 18 18 17 17
109 -AC_PRIMARY -RESET 110 +3V 20 20 19 19
PCLK_MINI R431 22_4 C542 10P_4 111 112 22 21
BEEP -MPCICACK USBON# 22 21
113 AGND AGND 114 24 24 23 23
115 116 CCD_POWERON# 26 25
+MIC +SPK (25) CCD_POWERON# 26 25
117 118 LID# 28 27
-MIC -SPK (21) LID# 28 27
09/07 Stuff R431,C542 in materials For EMI Situation. 119 120 NBSWON# 30 29
AGND AGND (25) NBSWON# 30 29
121 -RI NC4 122
+3V 123 124 B2B
+5V +5VA +3VAUX +3VSUS
GND

GND
2

Q22
125

126

PME# 3 1 M_PME#

*DTC144EU

C C
+1.5V +3V +3VSUS
BLUETOOTH MODULE CONNECTOR
CN17
51 Reserved +3.3V 52
+3VSUS_BT
49 Reserved GND 50 33ohm/1A CN16
47 Reserved +1.5V 48
45 46 R402 *0_4 BT_LED L17 0_8 BT_POWER
Reserved LED_WPAN# MINICARD_LED C269 10U_8 1
43 44 MINICARD_LED (26) L62
Reserved LED_WLAN# USBP6+ BUSBP6+ 2
41 Reserved LED_WWAN# 42 T118 (14) USBP6+ 4 4 3 3 3
39 40 USBP6- 1 2 BUSBP6-
Reserved GND (14) USBP6- 1 2 4
37 38 BT_LED
Reserved USB_D+ USBP7+ (14) (26) BT_LED 5
35 36 *DLW21HN900SQ2L
GND USB_D- USBP7- (14) 6
33 34 C504
(9) MINI_PCIE_TXP0 PETp0 GND
31 32 .01U_4 USB_BT
(9) MINI_PCIE_TXN0 PETn0 SMB_DATA PDAT_SMB (7,12,14)
29 GND SMB_CLK 30 PCLK_SMB (7,12,14)
27 28 RP6 0X2_4
GND +1.5V USBP6+ BUSBP6+
(9) MINI_PCIE_RXP0 25 PERp0 GND 26 3 4
23 24 USBP6- 1 2 BUSBP6-
(9) MINI_PCIE_RXN0 PERn0 +3.3Vaux
21 22 ALINK_RST#
GND PERST# ALINK_RST# (10,13,22)
19 20 RF_EN
Reserved Reserved
17 Reserved GND 18
+3VSUS 15 16 +3VSUS Q6 +3VSUS_BT
GND Reserved
(12) CLK_PCIE_MINI 13 REFCLK+ Reserved 14
(12) CLK_PCIE_MINI# 11 REFCLK- Reserved 12 1 3
2

R420 *0_4 Q21 9 10


(14) PCIE_WAKE# GND Reserved +1.5V +3VSUS
7 8 +3V
CLKREQ# Reserved AO3403
T75 5 Reserved +1.5V 6

2
T76 3 4
GND

GND

D
R419 *0_4 Reserved GND BT_POWERON# (25) D
(14,18) PME# 3 1 1 WAKE# +3.3V 2
C524 C529 C525
*DTC144EU +3V
53

54

*MINIPCI_50P_H9 .1U_4 .1U_4 .1U_4

C561

Close Minicard connector 1000P/50V_6 PROJECT : ZR3

MPC FOR EMI


Size

Date:
Document Number
Quanta Computer Inc.
MINI PCI&PCI-E,USB PORT
Friday, September 08, 2006 Sheet 20 of 31
Rev
1A

1 2 3 4 5 6 7 8
5 4 3 2 1

S-VIDEO R238
VIN

3VLCD 2
L37
1
TI201209G121_8
+3V

3
CN12
SV@S_VIDEO 330K Q18 Vgs=20V
AO3404
Rds@10V=28m ohm

5
L48 L8 2
TV_C/R_SYS TV-CHROMA 6 4 TV-LUMA TV_Y/G_SYS +5V_S5 @4.5V=42m ohm
(10) TV_C/R_SYS TV_Y/G_SYS (10)

5
BK1608HS220_6 6 4 BK1608HS220_6 +3V_LCD

3LCDONG
Ids@25C=5.8A
C418 L40 W:80 mil

1
D R283 C457 C459 9 8 C172 C156 R45 R243 .022U_4 LCDVCC1 1 2 D
9 8 CHB2012U121
150/F_4 82P_4 82P_4 82P_4 82P_4 150/F_4 100K
R250 C442 C441 C438
2
3 22_8 .1U_4 .01U_4 10U/10V_8
3 1

2
Q17 Q16

3
PDTC144EU 2N7002E LCDDISCHG
T77

3
(10) LCD_POWER_ON 2

L7
TV-CHROMA TV-LUMA TV-COMP TV-COMP TV_COMP_SYS LCDON# 2 Q19
TV_COMP_SYS (10)

1
BK1608HS220_6 2N7002E

C160 C155 R44

1
3

3
82P_4 82P_4 150/F_4

LVDS
1

2
D26 D2 D1
*DA204U *DA204U *DA204U
+3V +3V +3V LCD Connector
CN1
TXUCLKOUT- TXLCLKOUT- TXLCLKOUT- (10)
C (10) TXUCLKOUT- 1 2 C
TXUCLKOUT+ TXLCLKOUT+ TXLCLKOUT+ (10)
(10) TXUCLKOUT+ 3 4
TXUOUT2- 5 6 TXLOUT2-
(10) TXUOUT2- 7 8 TXLOUT2- (10)
TXUOUT2+ TXLOUT2+ TXLOUT2+ (10)
(10) TXUOUT2+ 9 10

CRT +5V_CRT2

D22 SSM14
C421 .1U_4

25 MIL
CRT PORT
(10) TXUOUT0-
(10) TXUOUT0+

(10) TXUOUT1-
(10) TXUOUT1+
TXUOUT0-
TXUOUT0+

TXUOUT1-
TXUOUT1+
11
13
15
17
19
21
23
12
14
16
18
20
22
24
TXLOUT0-
TXLOUT0+

TXLOUT1-
TXLOUT1+
TXLOUT0- (10)
TXLOUT0+ (10)

TXLOUT1- (10)
TXLOUT1+ (10)
2 1 +5V_CRT2 PHL_CLK +3V
+5V (10) PHL_CLK 25 26
CN7 PHL_DATA C431 1U_6

16
(10) PHL_DATA 27 28
29 30
31 32
6 33 34 +3V_LCD
VGA_RED L42 BLM18BA470SN1D_6 CRT_R1 1 11 L67
(10) VGA_RED 35 36
7 DISPON 1 2 VIN
VGA_GRN L38 BLM18BA470SN1D_6 CRT_G1 CRTDDAT VADJ 37 38 CHB2012U121
(10) VGA_GRN 2 12 39 40
8 09/07 For EMI Situation.
VGA_BLU L36 BLM18BA470SN1D_6 CRT_B1 3 13 CRTHSYNC LVDS_CONN
(10) VGA_BLU
9
4 14 CRTVSYNC
C429 C433 C439 10
R255 C436 R253 C432 R247 C428 5 15 CRTDCLK
150/F_4 10P_4 150/F_4 10P_4 150/F_4 10P_4 10P_4 10P_4 10P_4
+3V

17
CRT_DFDS15FR0G6 R252 2.2K_4 PHL_DATA VADJ L43 BK1608LL121_6 CONTRAST (25)
B DETECT_CRT B
R248 2.2K_4 PHL_CLK C443 .1U_4
U15 CM2009
+5V_CRT2 1 16 VSYNC1 L41 BLM18BA220SN1_6
+5V_CRT2 VCC_SYNC SYNC_OUT2
7 14 HSYNC1 L39 BLM18BA220SN1_6
+5V VCC_DDC SYNC_OUT1 +3V
ESD_8KV 8 15 VSYNC
BYP SYNC_IN2 VSYNC (10)
+5V_CRT2 C424 C425
2 13 HSYNC 10P_4 10P_4
+5V VCC_VIDEO SYNC_IN1 HSYNC (10)
R257 10K_4 R256
C426 CRT_R1 3 10 DDCCLK 10K_4
VIDEO_1 DDC_IN1 DDCCLK (10) R251 R246 D23 1SS355
.22U_4
CRT_G1 4 11 DDCDAT 2.7K_4 2.7K_4 (10) BLON 1 2
VIDEO_2 DDC_IN2 DDCDAT (10)
CRT_B1 5 9 CRTDCLK_R R245 33_4 D24 1SS355
VIDEO_3 DDC_OUT1
(25) EC_FPBACK# 1 2
6 12 CRTDDAT_R R249 33_4
GND DDC_OUT2 D25
+3VPCU 1SS355
DDCCLK R4 4.7K_4 +3V R258 10K_4 1 2 DISPON
C427 C430
DDCDAT R5 4.7K_4 10P_4 10P_4
LID# R259 1K_4
(20) LID# LID591# (25)
C444

increase capability of ESD, from +-4KV improve to +-8KV. .1U_4


+3V

A
System Auto Detect External CRT Device R2 +5V +5V +5V VIN VIN
A

R247 R248 R249 Close CN1


Enable Not stuffed Stuffed Stuffed 10K_4 C557 C558

1
DETECT_CRT C1 C440 C437
Disable (Default) Stuffed Not stuffed Stuffed
(25) DETECT_CRT
1000P/50V_6 1000P/50V_6 + C435
PROJECT : ZR3
1U_6 .1U_4 1000P_4
R1 10U/25V_1206 Quanta Computer Inc.
*0_4 2

Size Document Number Rev


FOR EMI 1A
CRT & LVDS & S-Video
Date: Friday, September 08, 2006 Sheet 21 of 31
5 4 3 2 1
1 2 3 4

PATA DFHS44FRD28
(15) PDD[0..15] PDD8 SATA DFHS22FRA03
ODD CONN PDD9
PDD10
PDD11 HDD CONN
CN13 PDD12
PDD13 CN18 CLOSE IDE SIDE
PDD14 HDD
1 2 PDD15 -RST_HDD0
3 4 P1 P1 P2 P2
-RST_HDD0 PDD8 PDD0 PDD7 P3 P4 PDD8 R416 *10K_4 IRQ14
5 6 P3 P4 +3V
A PDD7 PDD9 PDD1 PDD6 P5 P6 PDD9 A
PDD6 7 8 PDD10 PDD2 PDD5 P5 P6 PDD10 PDD7 R424 *10K_4
9 10 P7 P7 P8 P8
PDD5 PDD11 PDD3 PDD4 P9 P10 PDD11
PDD4 11 12 PDD12 PDD4 PDD3 P9 P10 PDD12
13 14 P11 P11 P12 P12
PDD3 PDD13 PDD5 PDD2 P13 P14 PDD13 PDDREQ R418 *5.6K_4
PDD2 15 16 PDD14 PDD6 PDD1 P13 P14 PDD14
17 18 P15 P15 P16 P16
PDD1 PDD15 PDD7 PDD0 P17 P18 PDD15
PDD0 19 20 PDDREQ P17 P18
21 22 P19 P19 P20 P20
PDIOR# P21 P22
23 24 (15) PDDREQ P21 P22
PDIOW# P23 P24
25 26 (15) PDIOW# P23 P24
PHDRDY PDDACK# P25 P26
27 28 (15) PDIOR# P25 P26
IRQ14 PHDRDY P27 P28 PSEL R417 470_4
29 30 (15) PHDRDY P27 P28
PDA1 -PDIAG P29 P30
31 32 (15,16) PDDACK# P29 P30
PDA0 PDA2 IRQ14 P31 P32
33 34 (15) IRQ14 P31 P32
PDCS1# PDCS3# P33 P34 -PDIAG R415 *10K_4 +5V
35 36 +5V_RBAYVCC +5V (15) PDA1 P33 P34
IDELED# P35 P36
37 38 (15) PDA0 P35 P36 PDA2 (15)
+5V_RBAYVCC 39 40 (15) PDCS1# P37 P37 P38 P38 PDCS3# (15)
R51 0_8 IDELED# P39 P40
41 42 P39 P40 +5V_HDD R152 0_8
43 44 +5V_HDD P41 P41 P42 P42 +5V
45 46 P43 P43 P44 P44
RCSEL_R C518 C517 C334 C338
47 48 C465 C463 C471 C467 + C461 +
R289 49 50 .1U_4 1000P_4 .1U_4 100U_3528
51
52
53
54

1000P_4 .1U_4 .1U_4 .1U_4 100U_3528


*470_4 8/15 Stuff in BOM For worst environment.
51
52
53
54

R413 0_4@PA
B B
D32 S1
IDELED# R412 *10K_4 S1
(15,26) HDDLED# 2 1 +5V S2 S2 SATA_TXP0 (15)
ODD_CONN 8/15 Stuff in BOM For worst environment. S3
S3 SATA_TXN0 (15)
*BAS316@SA S4
C519 S4 SATA_RXN0_C C531 *.01U_4
S5 S5 SATA_RXN0 (15)
*100P_4 S6 SATA_RXP0_C C528 *.01U_4
S6 SATA_RXP0 (15)
S7 S7
+3V_SHVCC +3V

S8 R177 *0_8@SATA
S8
S9 S9
PAD9 PAD5 PAD18 PAD10 PAD7 PAD20 PAD6 PAD1 PAD12 PAD21 PAD17 PAD4 PAD2 PAD15 PAD19 PAD13 PAD16 PAD14 PAD8 S10
S10
S11 S11
*EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD *EMIPAD S12 +5V_SHVCC +5V
S12
S13 S13
S14 R174 *0_8@SATA
S14
S15 S15
1

1
S16 +3V_SHVCC
S16
S17 S17
S18 C342 C347
S18
S19 S19
ADOGND S20 *4.7U_8 *4.7U_8 C354 C358
S20
1 1 S21 S21
PAD3 PAD11 2 S22 *4.7U_8 *.1U_4
HOLE1 HOLE2 HOLE9 HOLE20 HOLE3 HOLE24 HOLE23 HOLE14 HOLE8 HOLE15 HOLE10 2 S22
*PAD *PAD *H-C276D118P2 *H-C276D118P2 *H-C276D118P2 *H-C276D118P2 *H-C276D118P2 *H-C276D118P2 *H-C276D118P2 *H-C276D118P2 *H-C276D118P2 *H-C276D118P2 *H-C276D118P2
C C
1

1
RST_HDD# R426 *22_4 -RST_HDD0
(14) RST_HDD#
HOLE21 HOLE5 HOLE22 HOLE6 HOLE11 HOLE7 HOLE26 HOLE12 HOLE13
*H-C276D118P2 *H-C276I158D118P2 *H-C276I158D118P2
*H-O324X260D166X102P2 H-C236D118P2 H-C236D118P2 H-C236D118P2 H-C236D142P2 H-C197D83P2 +3V +5V

Q23 R425

2
DTC144EU
10K_4
1
1

1
(10,13,20) ALINK_RST# ALINK_RST# 1 3
CPU NUT NB HEATSINK
R423 *22_4

HOLE17 HOLE18 HOLE16 HOLE19 HOLE4 HOLE25


D *MC@H-TC98BC197D59P2 *MC@H-TC98BC197D59P2 H-TC98BC197D83P2 H-TC98BC197D83P2 H-C197D87P2 H-C197D87P2 D

PROJECT : ZR3
Quanta Computer Inc.
1

MINI-CARD MDC Size Document Number Rev


Board to Board HDD & CDROM & HOLES 1A

Date: Friday, September 08, 2006 Sheet 22 of 31


1 2 3 4
5 4 3 2 1

Option of External Volume


+5V +5V_ADO Control or Standby System MIC
MIC1-VREFO-R (24)
L29 Mode/De-Pop
TI321611U480_1206
MIC2-VREFO-L (24)
C387 C379 C552 C541 C402 C403 INT MIC
+5V_ADO
MIC1-VREFO-L (24)
.1U_4 10U_8 .1U_4 .1U_4 .1U_4 10U_8
R434 10K_4
+5V_ADO

ADOGND
C555 10U_8
D D
FRONT-L
+5V +5V_ADO FRONT-R
U12
R195 *0_6 4 3 U26 ADOGND

36

35

34

33

32

31

30

29

28

27

26

25
VEN VOUT
5
GND

VREF
FRONT-R

FRONT-L

DCVOL

LINE1-VREFO-L

AVSS1

AVDD1
Sense B

MIC1-VREFO-R

LINE2-VREFO

MIC1-VREFO-L
MIC2-VREFO
VIN

ADJ
R207 55mA(AVDD=5.0V)
*G961
2

1
*36K_4 +5V_ADO 37 24 LINE1-R
LINE1-VREFO-R LINE1-R LINE1-R (24)
38 23 LINE1-L
AVDD2 LINE1-L LINE1-L (24)
SURR-L 39 22 MIC1-R
(24) SURR-L SURR-L MIC1-R MIC1-R (24)
Vo=1.2*(R371+R372)/R371= 4.8V R208
R428 20K/F_6 MIC1-L
40 JDREF/NC MIC1-L 21 MIC1-L (24)
*12K_4
SURR-R 41 20 C401 .1U_4
(24) SURR-R SURR-R CD-R
R203 0_6
TO LINE OUT AMP 42 AVSS2
ALC883 CD-GND 19 C400 .1U_4 ADOGND
R209 0_6 ADOGND
43 18 C399 .1U_4
R204 0_6 ADOGND SURR-VREFO-L CD-L
44 SURR-VREFO-R MIC2-R 17 MIC2_INT_R (24)
ADOGND 45 16
MIC2-VREFO-R MIC2-L MIC2_INT_L (24)
46 15 C398 .1U_4 ADOGND
R205 0_6 LINE2-VREFO-R LINE2-R
C 47 14 C392 .1U_4 C
R447 0_6 L65 SPDIFI/EAPD LINE2-L
SPDIF_OUT SPDIFO_R 48 13 SENSEA R231 20K/F_6

SDATA-OUT
(24) SPDIF_OUT SPDIFO Sense A MIC1_JD (24)
BK1005LL121_4_50MA

SDATA-IN

PCBEEP
RESET#
R232 10K/F_6

BIT-CLK
DVDD1

DVDD2
LINEIN_JD (24)

DVSS1

DVSS2
GPIO0

GPIO1

SYNC
ADOGND
8/16 For ESD Solution. R202 5.1K/F_6
LINEOUT_JD (24)

10

11

12
+3V
+3V R189 *43K_4

U11

5
C378 10U_8 1 PCMSPK
PCMSPK (18)
PCBEEP C376 1U_6 BEEP_1 R191 10K_4 BEEP 4
2 PCSPK
PCSPK (14)
883_AMP_MUTE#
(24) 883_AMP_MUTE#

3
C373 R190 SN74LVC1G86DCKR
R193

AZ_SDOUTA

CODEC-BITCLK

ACZ_SDIN0_R

AZ_SYNCA

CODEC-RESET#
*10K_4 100P_6 1K_4

CODEC-RESET#

AZ_SYNCA

CODEC-BITCLK R192 0_4


AZ_RESET# (14,24)
C383 C536 C381
AZ_SYNCA (14)
B *22P_4 *22P_4 *22P_4 R422 33_4 B
AZ_SDIN1 (14)
R194 0_4
AZ_BITCLKA (14)

AZ_SDOUTA (14)

+3V_S5
U28 MAX4411
EP 21
EP 22
FRONT-L C551 4.7U_6 AOUTR_L_HP R435 4.7K_4 INL_4411 13 23 CN20 C370
INL EP
EP 24 1 GND RSV 2
25 CD_SDOUTA_MDC 3 4 .1U_4
EP (14) CD_SDOUTA_MDC AC_SDO RSV
FRONT-R C556 4.7U_6 AOUTR_R_HP R437 4.7K_4 INR_4411 15 5 6
INR HPL CD_SYNC_MDC GND 3.3V
OUTL 9 HPL (24) (14) CD_SYNC_MDC 7 AC_SYNC GND 8
11 HPR CD_SDIN0 R187 22_4 CD_SDIN1 9 10
OUTR HPR (24) (14) CD_SDIN0 AC_SDI GND
4 CD_RESET#_MDC 11 12
NC1 (14) CD_RESET#_MDC AC_RST# AC_BCLK CD_BITCLKA_MDC (14)
(24) MUTE# 14 SHDNR NC2 6
18 8 C365 MDC
SHDNL NC3 R188
NC4 12
C407 1U_4 C1P_4411 1 16 *10P_4 *22_4
C1P NC5 +3V
NC6 20
+3V C1N_4411 3 10 L66
C1N SVDD VCC3_4411 C366
PVDD 19 1 2
5 2 BLM11A601S_6 *10P_4
PVSS_4411 PVSS PGND C414 C408 C534
7 SVSS SGND 17
A C380 C535 A
C549 1U_6 10U_8 1U_6
.1U_4 .1U_4 QFN20-4X4-5-25P
1U_4

ADOGND
ADOGND PROJECT : ZR3
Quanta Computer Inc.

LINE OUT AMPLIFIER MDC Module Size


Custom

Date:
Document Number
ALC883 & MDC & HP AMP
Friday, September 08, 2006 Sheet 23 of 31
Rev
1A

5 4 3 2 1
5 4 3 2 1

SYSTEM LINE OUT/SPDIF


Speaker Amplifier MAX9710
R432 15K/F_6
BLACK
+3V_SPD
U27 MAX9710ETP
C550 1U_6 FRONT-L_1 R433 15K/F_6 FRONT-L_2 1 19 INSPKL+ CN25
(23) SURR-L INL OUTL+
17 INSPKL- HPPLG# 7 5
OUTL-
D +5V_ADO 12 VDD 2 D
8 PVDD EP 21 3
C406 10U_8 18 22 HPL R225 0_4 HP_L L33 BK1608LL121_6 HPL_SYS 4
PVDD EP (23) HPL
23 MAX9710 : QFN Pin21 HPR R211 0_4 HP_R L30 BK1608LL121_6 HPR_SYS 1
EP (23) HPR
EP 24 Don"t forget ThermalPAD 6
ADOGND C404 1U_6 2 25
BIAS EP Over 4 Vias to GND R213 R226 C409 C412
6 PGND EP 26 9 LED
ADOGND 11 PGND 8/17 For Audio good FSOV *22K_4 *22K_4 470p_4 470p_4
(23) SPDIF_OUT
R224 0_4 10 Drive
IC
15 PGND NC 16 8
20 13 ADOGND
+5V_ADO PGND NC
NC 10 SPDIF
MUTE 4 3
R227 0_4 MUTE NC
14 SHDN#
9 INSPKR-
OUTR- INSPKR+ ADOGND ADOGND
5 INR OUTR+ 7

QFN20-5X5-65-26P
LINEOUT_JD:
(23) SURR-R
C540 1U_6 FRONT-R_1 R429 15K/F_6 FRONT-R_2 R430 15K/F_6 HP not insert-->H
+5V_ADO
LINEOUT_JD
HP insert-->L
LINEOUT_JD (23)
R206

3
+5V_ADO +3V_SPD +5V_ADO
10K_4
Q12
R210 R212 HP_ON 2 +5V_ADO
D21
2N7002

3
+5V_ADO C405 22K_4
C 100K_4
MUTE
SPEAKER .1U-10V_4
Q14
HPPLG#
1 C

1
R436 CN22 HPPLG# Q13
2 2
3

INSPKR+ L28 BK1608LL121_6 INSPKR+N 2N7002


Q15 2N7002 INSPKR- L27 BK1608LL121_6 INSPKR-N 4 2
10K_4 INSPKL+ L26 BK1608LL121_6 INSPKL+N 36 AO3403
D17 1 MUTE# INSPKL- INSPKL-N 25 *DA204U
(14,23) AZ_RESET# 2 MTW355 2 L25 BK1608LL121_6
1
ADOGND

1
D16 1 2 MTW355 C393 C394 C395 C396 SPEAKERS CON For ESD close to audio out connecter
(25) EC_AMP_MUTE#
D18 1 2 MTW355 47P_4 47P_4 47P_4 47P_4 ADOGND +3V ADOGND ADOGND
(23) 883_AMP_MUTE# MUTE# (23)
1

ADOGND
ADOGND

SYSTEM LINE IN SYSTEM MIC


PINK
R233 2.2K_4 CN27
(23) MIC1-VREFO-L
1 7
BLUE (23) MIC1-L
C547 1U_6 MIC1_L1 L35 BK1608LL121_6 MIC1_L 2
6
B CN26 R228 2.2K_4 MIC1_R1 L32 BK1608LL121_6 MIC1_R 3 B
(23) MIC1-VREFO-R
1 7 (23) MIC1_JD 4
C553 1U_6 LINE1-L_1 L34 BK1608LL121_6 LINEINL_SYS 2 C548 1U_6 8
(23) LINE1-L (23) MIC1-R
6 5
C554 1U_6 LINE1-R_1 L31 BK1608LL121_6 LINEINR_SYS 3 C411 C415 MIC
(23) LINE1-R
(23) LINEIN_JD 4
8 470P_4 470P_4
C410 C413 5

470P_4 470P_4 LINE IN

Normal OPEN Jack


ADOGND

Normal OPEN Jack


ADOGND

INT MIC D19


+5V_ADO

+5V_ADO R427 2.2K_4


D20 CN21 MIC2-VREFO-L (23)
MIC2_INT C538 1U_6 1
1 2 MIC2_INT_L (23)
C539 1U_6 MIC1_JD
1 MIC2_INT_R (23) 3
LINEIN_JD
3 INT_MIC C537
2
2
*DA204U *22P_4 *DA204U ADOGND
ADOGND
For ESD close to audio out connecter
A For ESD close to audio out connecter A
ADOGND

PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
Custom SPEAKER AMP / JACK 1A

Date: Friday, September 08, 2006 Sheet 24 of 31


5 4 3 2 1
5 4 3 2 1

+3VPCU
I/O Address

+3VPCU 3VRTC
BADDR1-0 Index Data

C291 C321 C357 C526 C353 C341


00 2E 2F
10U-10V_8 .1U-10V_4 .1U-10V_4 .1U-10V_4 .1U-10V_4 .1U-10V_4
R129 01 4E 4F
0_4 10 (HCFGBAH, HCFGBAL) (HCFGBAH, HCFGBAL)+1
C318 C316 C356

591_AVCC
.1U_4 .1U_4 .1U-10V_6
11 Reserved

+3V 9/02 Change Footprint to 0603.


D C337 *10P-50V_4 R167 *22_4 PCLK_591 D
C339 +3VPCU

123
136
157
166

161
.1U-10V_4

16

34
45

95
U7 ENV1 R170 10K_4

VCC1
VCC2
VCC3
VCC4
VCC5
VCC6

VBAT
VDD

AVCC
BADDR0 R172 10K_4

+3VPCU SERIRQ 7 81 TEMP_MBAT


(13,18,20) SERIRQ SERIRQ AD0 TEMP_MBAT (27)
8 82 TEMP_ABAT BADDR1 R173 *10K_4
LDRQ AD1 T63
LFRAME#/FWH4 9 83
(13,16) LFRAME#/FWH4 LFRAME AD2
R166 LAD0/FWH0 15 84
(13) LAD0/FWH0 LAD0 AD3 T62
LAD1/FWH1 14 Host interface 87 WIRELESS_SW# SHBM R176 10K_4
(13) LAD1/FWH1 LAD1 IOPE0AD4 WIRELESS_SW# (26)
470K_4 LAD2/FWH2 13 88 BLUETOOTH_SW#
(13) LAD2/FWH2 LAD2 IOPE1/AD5 BLUETOOTH_SW# (26)
LAD3/FWH3 10 89 SUSC#
(13) LAD3/FWH3 LAD3 IOPE2/AD6 SUSC# (14)
PCLK_591 18 AD Input 90 HWPG_591
(13,16) PCLK_591 LCLK IOPE3/AD7
19 93 MBCLK R181 4.7K_4
KBSMI# LREST DP/AD8
(14) KBSMI# 2 1 BAS316 22 SMI DN/AD9 94
D12 2 1 BAS316 23 MBDATA R182 4.7K_4
(14) SWI# PWUREQ
D11 99 CC-SET
DA0 CC-SET (27)
100 CV-SET
DA1 CV-SET (27)
SCI# 2 1 31 DA output 101 CONTRAST
(14) SCI# IOPD3/ECSCI DA2 CONTRAST (21)
D10 BAS316 102 VFAN
DA3 VFAN (26)
+3VPCU
GATEA20 2 1 5 32 8724CELLS
(14) GATEA20 GA20/IOPB5 IOPA0/PWM0 8724CELLS (27)
D14 BAS316 6 33
RCIN# KBRST/IOPB6 IOPA1/PWM1 CCD_POWERON# NBSWON# R451 *10K_4
(14) RCIN# 2 1 IOPA2/PWM2 36 CCD_POWERON# (20)
D13 BAS316 PWM 37
IOPA3/PWM3 EC_AMP_MUTE# (24)
MX0 71 or PORTA 38 BT1#
(26) MX0 KBSIN0 IOPA4/PWM4 T68

1
MX1 72 39 BT2# C562
(26) MX1 KBSIN1 IOPA5/PWM5 T67
MX2 73 40 BT3# .1U-10V_4
(26) MX2 KBSIN2 IOPA6/PWM6 T65
MX3 74 43 BT4#
(26) MX3 KBSIN3 IOPA7/PWM7 T64

2
MX4 77
(26) MX4 KBSIN4
MX5 78 153 DETECT_CRT
(26) MX5 KBSIN5 IOPB0/URXD DETECT_CRT (21)
MX6 79 154 CPU_EC_PROCHOT#
(26) MX6 KBSIN6 IOPB1/UTXD CPU_EC_PROCHOT# (5)
MX7 80 Key matrix scan 162
(26) MX7 KBSIN7 IOPB2/USCLK T74
C 163 MBCLK C
IOPB3/SCL1 MBCLK (27)
MY0 49 PORTB 164 MBDATA
(26) MY0 KBSOUT0 IOPB4/SDA1 MBDATA (27)
MY1 50 165 PCIRST#
(26) MY1 KBSOUT1 IOPB7/RING/PFAIL PCIRST# (13,17,18,20,26)
MY2 51
(26) MY2 KBSOUT2
MY3 52 168 591_PME# +3VPCU
(26) MY3 KBSOUT3 IOPC0 U8
MY4 53 169 MBCLK_CPU
(26) MY4 KBSOUT4 IOPC1/SCL2 MBCLK_CPU (5)
MY5 56 170 MBDATA_CPU D15 BAS316 MBCLK 6 1
(26) MY5 KBSOUT5 IOPC2/SDA2 MBDATA_CPU (5) SCL A0
MY6 57 171 1 2 MBDATA 5 2
(26) MY6 KBSOUT6 IOPC3/TA1 DNBSWON# (14) SDA A1
MY7 58 PORTC 172 FANSIG 3
(26) MY7 KBSOUT7 IOPC4/TB1/EXWINT22 FANSIG (26) A2
MY8 59 175 EC_FPBACK#
(26) MY8 KBSOUT8 IOPC5/TA2 EC_FPBACK# (21)
MY9 60 176 LID591# 7 8
(26) MY9 KBSOUT9 IOPC6/TB2/EXWINT23 LID591# (21) WP VCC
MY10 61 1 PWROK_1 R175 0_4 4
(26) MY10 KBSOUT10 IOPC7/CLKOUT EC_PWRGD (5,13,14) GND
MY11 64
(26) MY11 KBSOUT11
MY12 65 26 24LC08
(26) MY12 KBSOUT12 IOPD0/RI1/EXWINT20
MY13 66 PORTD-1 29 ACIN
(26) MY13 KBSOUT13 IOPD1/RI2/EXWINT21 ACIN (27)
MY14 67 30
(26) MY14 KBSOUT14 IOPD2/EXWINT24
MY15 68
(26) MY15 KBSOUT15
2 NBSWON#
IOPE4/SWIN NBSWON# (20)
105 44 SUSB#
T69 TINT IOPE5/EXWINT40 SUSB# (14)
T71 106 TCK
PORTE
IOPE6/LPCPD/EXWIN45 24
107 25 CLKRUN#
T72 TDO IOPE7/CLKRUN/EXWINT46 CLKRUN# (13,17,20)
T70 108 TDI
JTAG debug port
109 124 ENV0 +3V
T73 TMS IOPH0/A0/ENV0
125 ENV1
IOPH1/A1/ENV1 BADDR0
110 PSCLK1/IOPF0 IOPH2/A2/BADDR0 126
111 127 BADDR1 WIRELESS_SW# R119 4.7K_4
PSDAT1/IOPF1 IOPH3/A3/BADDR1 TRIS
114 PSCLK2/IOPF2 IOPH4/A4/TRIS 128
115 PORTH 131 SHBM BLUETOOTH_SW# R118 4.7K_4
TBCLK PSDAT2/IOPF3 IOPH5/A5/SHBM A6
(26) TBCLK 116 PSCLK3/IOPF4
PS2 interface
IOPH6/A6 132
TBDATA 117 133 A7
(26) TBDATA PSDAT3/IOPF5 IOPH7/A7
CAPSLED# 118
(26) CAPSLED# PSCLK4/IOPF6
NUMLED# 119 138 D0
(26) NUMLED# PSDAT4/IOPF7 IOPI0/D0
139 D1
IOPI1/D1 D2
IOPI2/D2 140
141 D3
591_32KX1 IOPI3/D3 D4
158 32KX1/32KCLKOUT
PORTI
IOPI4/D4 144
145 D5
B IOPI5/D5 B
R183 20M_6 591_32KX2 160 146 D6
32KX2 IOPI6/D6 D7
IOPI7/D7 147
Y5
4 1 R184 33K/F_6 150 RD#
IOPJ0/RD WR# U24
3 2 PORTJ-1 151 U25
IOPJ1/WR0 D0 ENV0 D0 +3VPCU
12 A0 D0 13 21 A0 D0 25
32.768KHZ 152 11 14 D1 ENV1 20 26 D1
SELIO A1 D1 D2 BADDR0 A1 D1 D2
10 A2 D2 15 19 A2 D2 27
62 41 9 17 D3 BADDR1 18 28 D3
(26) PWRLED# IOPJ2/BST0 IOPD4 A3 D3 A3 D3
63 42 1.2V_ON 8 18 D4 TRIS 17 32 D4 R414
IOPJ3/BST1 IOPD5 1.2V_ON (29) A4 D4 A4 D4
69 PORTD-2 54 D/C# 7 19 D5 SHBM 16 33 D5
(20) USBON# IOPJ4/BST2 IOPD6 D/C- (27) A5 D5 A5 D5
70 PORTJ-2 55 BL/C# T58 6 20 D6 A6 15 34 D6 10K_4
(26) SUSLED# IOPJ5/PFS IOPD7 A6 D6 A6 D6
75 5 21 D7 A7 14 35 D7
(26) BATLED0# IOPJ6/PLI A7 D7 A7 D7
C527 C530 76 143 A8 27 A8 8
(26) BATLED1# IOPJ7/BRKL_RSTO IOPK0/A8 A8 A8
10P_4 10P_4 142 A9 26 A9 7 10 VCC1_PWROK
IOPK1/A9 A10 A9 A18 A10 A9 RESET#/NC
(20) RF_EN 148 IOPM0/D8 IOPK2/A10 135 23 A10 VPP 1 36 A10 RY/BY#/NC 12 T117

1
BT_POWERON# 149 PORTK 134 A11 25 A11 6 29 C522
(20) BT_POWERON# IOPM1/D9 IOPK3/A11 A11 A11 NC1
155 130 A12 4 A12 5 38 .1U-10V_4
(14) RSMRST# IOPM2/D10 IOPK4/A12 A12 A12 NC2
NB_PWRGD 156 PORTM 129 A13 28 A13 4 11
(5,10) NB_PWRGD IOPM3/D11 IOPK5/A13/BE0 A13 A13 NC3

2
VRON 3 121 A14 29 A14 3
(28) VRON IOPM4/D12 IOPK6/A14/BE1 A14 +3VPCU A14
MAINON 4 120 A15 3 A15 2 31 +3VPCU
(29,30,31) MAINON IOPM5/D13 IOPK7/A15/CBRD A15 A15 VCC
SUSON 27 2 A16 1 30
(29,30) SUSON IOPM6/D14 A16 A16 VCC
S5_ON 28 113 A16 30 32 A17 40
(29,30) S5_ON IOPM7/D15 IOPL0/A16 A17 VCC A17
112 A17 A18 13
CS# IOPL1/A17 A18 CS# C523 A19 A18
173 SEL0
PORTL
IOPL2/A18 104 22 CE# 37 A19
8/16 Change 10P To Match the frequency stability 174 103 A19 RD# 24 23
SEL1 IOPL3/A19 WR# OE# .1U_4 CS# GND
47 CLK IOPL4/WR1 48 T59 31 WE# GND 16 22 CE# GND 39
RD# 24
*PLCC32 WR# OE#
9 WE#
AGND
GND1
GND2
GND3
GND4
GND5
GND6
GND7

FWH
NC10
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9

+3V ST Micro M29W008AB/AMD-29LV081B/SST39VF080


PC97551
17
35
46
122
159
167
137

96

11
12
20
21
85
86
91
92
97
98

R145
A A
10K_4 C521

1U-16V_6
1 2 +3V_S5 +3VPCU
(28) CPU_COREPG
D8 MTW355

(30) HWPG_SYS 1 2
D9 MTW355
PROJECT : ZR3
2

R180 R179
1 2 HWPG_591 Q9 *4.7K_4
(29) HWPG_1.2V
D6 MTW355 10K_4 PDTC143TT Quanta Computer Inc.
1 2 1 3 591_PME#
(29) HWPG_1.8V (17) LAN_PME#
D7 MTW355 Size Document Number Rev
97551 & FLASH 1A

Date: Friday, September 08, 2006 Sheet 25 of 31


5 4 3 2 1
5 4 3 2 1

INT K/B CN3 +3VSUS


MX0 MX7
(25) MX0 1 +5V
MX1 MX6 RP1 10KX8 CA2 *220PX4 CA3 *220PX4
(25) MX1 2
MX2 MX5 10 1 MY3 MY2 7 8 7 8 MY7 FAN CONTROL +3V
(25) MX2 3
MX3 MY0 MX7 9 2 MX4 MX4 5 6 5 6 MY6
(25) MX3 4
MX4 MY1 MX6 8 3 MY2 MY3 3 4 3 4 MY5
(25) MX4 5
MX5 MY2 MX5 7 4 MY1 MY1 1 2 1 2 MY4
(25) MX5 6
MX6 MX4 MY0 6 5 R244 R254
(25) MX6 7
MX7 MY3 10K_6
(25) MX7 8 MY4 *10K_4
MY15 9 MY5 CA6 *220PX4 CA4 *220PX4
(25) MY15 10 (25) FANSIG
MY14 MY6 RP3 10KX8 MY13 7 8 7 8 MX2 CN8
(25) MY14 11
MY13 MY7 10 1 MX0 MY14 5 6 5 6 MY9 U14 G995
(25) MY13

4
D MY12 12 MY8 MY12 MY11 MY15 MX3 TH_FAN_POWER D
(25) MY12 13 9 2 3 4 3 4 2 VIN VO 3 1
MY11 MX3 MY13 8 3 MY10 MY12 1 2 1 2 MY8 5
(25) MY11 14 GND 2
(25) MY10
MY10
15
MY9 MY14 7 4 MX1
(5) MAX6648_OV#
1 FON GND 6 C2 30 MIL C434
3
MY9 MX2 MY15 6 5 7
(25) MY9 16 GND
MY8 MX1 4 8 2.2U_6 .01U_4
(25) MY8 17 (25) VFAN VSET GND

5
MY7 MY10 CA5 *220PX4 CA1 *220PX4 FAN_CON
(25) MY7 18
MY6 MY11 MX1 7 8 7 8 MY0
(25) MY6 19
MY5 MX0 RP2 10KX8 MY10 5 6 5 6 MX5
(25) MY5 20
MY4 MY12 10 1 MY7 MY11 3 4 3 4 MX6
(25) MY4 21
MY3 MY13 MY8 9 2 MY6 MX0 1 2 1 2 MX7
(25) MY3 22
MY2 MY14 MX3 8 3 MY5
(25) MY2 23
MY1 MY15 MY9 7 4 MY4
(25) MY1 24
MY0 MX2 6 5
(25) MY0 25
PTWO_KB

KBC THM
SW9 MISAKI_SWITCH SW5 MISAKI_SWITCH 8/15 Del R440,R442 For MINI-PCI LED Function.
SCRL_RIGHT# 3 2 SCRL_UP# 3 2
1 4 1 4
5 5 +3V

R440 *330_4 R442 *0_4


MINICARD_LED (20)
SW8 MISAKI_SWITCH SW6 MISAKI_SWITCH LED7
C SCRL_LEFT# 3 2 LEFT# 3 2 R441 0_4 2 1 R438 330_4 C
(20) WIRELESS_LED
1 4 1 4
5 5 +3VPCU LED_Orange
LED6
SW10 MISAKI_SWITCH SW7 MISAKI_SWITCH R229 330_4 1 SUSLED# (25)
SCRL_DN# 3 2 RIGHT# 3 2 -SUSLED 3
1 4 1 4 2 LED8
PWRLED# (25)
5 5 R439 330_4 1 2 BT_LED
BT_LED (20)
LED_G/Y LED_Blue

+5V +5V_TP LED5


CN2 R230 330_4 1 BATLED1# (25)
R26 10K_4 L3 BK2125HS330_8 -BATLED1 3 +3V
R24 10K_4 1 LED2
2 2 BATLED0# (25)
L2 LZA10-2ACB104MT_6 TP_DATA +5V_TP CAPSLED# 1 2 R6 330_4
(25) TBDATA 3 (25) CAPSLED#
L1 LZA10-2ACB104MT_6 TP_CLK
(25) TBCLK C97 *.1U_4 4 LED_G/Y LED_G
C96 *.1U_4 5 C86 LED3
RIGHT# 6 NUMLED# R7 330_4
7 (25) NUMLED# 1 2
SCRL_RIGHT# .1U_4
SCRL_UP# 8 LED_G
SCRL_LEFT# 9
SCRL_DN# 10

B TPD LEFT# 11
12
FPC_TOUCHPAD

+3V
Q1
DTA114YUA
B

R3 LED1
1 3 EMAIL_LED 2 1

47K
SW11
SLIDE_SWITCH_WL CN23 330_4 LED_G

10K
AD0
30 AD0 (13,17,18,20)
WIRELESS_SW# 1 4 AD1
(25) WIRELESS_SW# 29 AD1 (13,17,18,20)
AD2
28 AD2 (13,17,18,20)

2
AD3
27 AD3 (13,17,18,20)
2
3

AD4 EMAIL_LED#
26 AD4 (13,17,18,20) (14) EMAIL_LED#
AD5
25 AD5 (13,17,18,20)
SW12 AD6
24 AD6 (13,17,18,20)
SLIDE_SWITCH_BT AD7
23 AD7 (13,17,18,20)
AD8
22 AD8 (13,17,18,20)
BLUETOOTH_SW# 1 4 AD9
(25) BLUETOOTH_SW# 21 AD9 (13,17,18,20) Q2
AD11
20 AD11 (13,17,18,20) DTA114YUA
AD13
19 AD13 (13,17,18,20)
2
3

AD15 +3V
18 AD15 (13,17,18,20)
CBE0# R8 LED4
17 CBE0# (13,17,18,20)
CBE1# 1 3 HDD_LED 2 1
16 CBE1# (13,17,18,20)

47K
CBE2#
15 CBE2# (13,17,18,20)
CBE3# 330_4 LED_G
14 CBE3# (13,17,18,20)

10K
P WWW PCLK_MINI
13 PCLK_MINI (13,16,20)
SW4 MISAKI_SWITCH SW3 MISAKI_SWITCH IRDY#
12 IRDY# (13,17,18,20)
MX0 3 2 MX2 3 2 TRDY#
11 TRDY# (13,17,18,20)

2
1 4 1 4 PCIRST#
10 PCIRST# (13,17,18,20,25)
5 5 GNT2# HDDLED#
9 GNT2# (13,20) (15,22) HDDLED#
DEVSEL#
A 8 DEVSEL# (13,17,18,20) A
E MAIL FRAME#
7 FRAME# (13,17,18,20)
SW2 MISAKI_SWITCH SW1 MISAKI_SWITCH +3V
MX1 MX3 6
3 2 3 2 5
1 4 1 4 +5V
4
5 5 3
MY10 2 PROJECT : ZR3
1
*MC@PTWO_DEBUG Quanta Computer Inc.

QUICK KEY SWITCH Debug card interface LED Size

Date:
Document Number
T/P,FAN,SWITCH,LED,K/B
Friday, September 08, 2006 Sheet 26 of 31
Rev
1A

5 4 3 2 1
5 4 3 2 1

D D

PQ35 PQ33
VA1 FDS6675BZ FDS6675BZ
VA VIN
1 8 1 8
1 CS_OUT 2 7 2 7
3 3 6 3 6
2 PC118 5 5
PD11 SPL1040PT PR38
PC124 PC123 PC43 PC44 PC36 PR35 PC35
33K_6

4
0.1U-25V_8 220K/F_6 0.1U-25V_8
0.1U-25V_8 0.1U-25V_8 2200P-50V_40.1U-50V_6
0.1U-50V_6 2711_gate

1
1 6 PR32
1P PC23 *0.1U-50V_6 PR34 10K_6
PR118 2 5 PR49 0_6
220K/F_6 D/C- (25)
0.01_3720 2P PC24 *0.1U-50V_6

2
3 4

3
PD2

2
SW1010CPT PQ4
IMD2AT108
2

1
PQ3
PR108 PD10 VIN 2N7002E
(25) ACIN 2 1

1
C 10K_6
CSSP
CSSN 2004_08_17 C

2
ZD12V
PC21 +3VPCU PL9
PR115 1U-25V_8 CELLS PR30 100K_6 PC127 10U/25V_12 HI0805R800R-00_8

1
6.8K_6 2 1

+
PR37 0_6
8724CELLS (25)
PR109
10K_6
PR33 PC126 0.1U-25V_8
2004_08_17
100K_6
PR21
For EMI
2004_08_17 PR16 33_6
2.2/F_6
PU2

27
26
(change

2
MAX8724
cv-set to 8724LDO +3VPCU PC30

CSSP
CSSN
1 DCIN CELLS 17 PC29 1U-10V_6 PC28
Update to footprint

1
8724 LDO) 1U-10V_6 2200P-50V_6
PC52
LDO 28724LDO

PR47 0.1U-50V_6 22 PD4 2004_08_17 PR110


0_6 DLOV PL6 0.015_3720 PC110
10 ACIN 8 G1 D1 1
BST 24 8724BST 1 2 3.3UH_13.5A 10U/25V_12
PC27 7 S1/D2 D1 2 VIN_8724L 1 2 BAT-V
PR55 *0_6 15 SW1010CPT
(25) CV-SET VCTL 0.1U-50V_6 G2 3 PR13
6

1
PR54 0_6 13 25 8724DH
(25) CC-SET ICTL DHI

1P

2P
5 S2 4 2.2/F_6 + +
PC40 PC51 12 23 8724LX PC108 PC119 PC116
REFIN LX
2004_08_17 0.1U-50V_6 0.01U-50V_6 0.1U-50V_6

2
1000P-50V_4 1000P-50V_4 11 21 PQ34 PC32
ACOK DLO FDS6900AS 2200P-50V_6
For EMI 9 ICHG PGND 20 8724DL
PC111
28 19 CSIP 10U/25V_12
PR53 IINP CSIP CSIN
CSIN 18
PC114 8724LDO 8 SHDN
B TEMP_MBAT (25) B
0_6 16 BAT-V
BATT
7 CCV
PJ1 1000P-50V_4 4 8724REF
REF
6 5 TEMP_MBAT
MBAT+ BAT-V
6
2004_08_21
7 4 PC115 CCI PR31 16.2K/F_6 VIN_8724L VIN VIN VIN
3 CLS 3
2 47P-50V_4 5
Constant Power" setting from 95% to 85%.
GND
GND

1 CCS
1

PC15 PC14 PC113


SUYIN_20175A_05G1 PC155 PC156 PC38 PC158

2
1000P-50V_4 1000P-50V_4
0.1U-50V_6 PR5 10K_6 PR48 PR46 PR39 PR29 PC37
+3VPCU
2

14
29

PR7 10K/F_6 1000P/50V_6 1000P/50V_6 1000P/50V_6 0.1U/50V_6


330_4 1K/F_6 0_6 0_6 1U-16V_6

1
10mil
PR8
330_4 2004_08_17 PC49 PC47 PC41
MBCLK (25)
Update to 5% 0.1U-50V_6 0.01U-50V_6 0.01U-50V_6 FOR EMI
MBDATA MBDATA (25) TEMP_MBAT
CURRNT LIMIT POINT = 3.4A
1

PD8 PD9 PC12


ZD5.6V 0.01U-50V_6
ZD5.6V
2
2

A A

PROJECT :ZR3
Quanta Computer Inc.
Size Document Number Rev
C BATTERY CHARGER 1A

Date: Friday, September 08, 2006 Sheet 27 of 31


5 4 3 2 1
1

+5VPCU
2004_08_17 +5VPCU
PR1
Update to 5% 8774VCC

2
10/F_6 PC18
2004_08_17

2
PR4 PR28 PC3

19

25
0_6 2.2U-10V_8 4.7U-10V_8 PL8

1
+3V 100K_4 PD3 For EMI VIN_8774 HI0805R800R_8_5A VIN

VCC

VDD
RB500V
37 PR107 200K/F_4 PC122
TWO-PH

1
TON 7
PR15 17 PQ29 PL7
PHASEG

10U/25V_12
10K_6 AOL1414 HI0805R800R_8_5A
1 PR10 PC2 PC7 PC106
(25) CPU_COREPG PWRGD

2200P-50V_4

0.1U-50V_6

10U/25V_12
29 8774DH1 2.2/F_6
DH1
PR22 0_4 PR14 4
31 30 8774BST1 2.2_6
(5) VID0 D0 BST1
PR23 0_4 PC20

1
2
3
(5) VID1
PR24 0_4
32 D1 PC25
2200P-50V_6
2004_08_17
33 0.22U-25V_6 PL11 0.36UH
(5) VID2 D2
PR25 0_4 28 8774LX1 1 2
LX1
(5) VID3
PR26 0_4
34 D3 PR18 Update to TOKIN: DC+36Q0M001

4
5
35 PQ32
(5) VID4 D4
PR27 0_4 AOL1412 2.2/F_6
36 26 8774DL1
(5) VID5 D5 DL1

2
4
40 27 PR44
IC PGND1

1
PC31 1.62K/F_4 PR45 PR120

1
2
3
2200P-50V_6 3.01K/F_4 NTC 10K_6-B4.25K
PR19 0_4

1
(25) VRON
PR17 0_4
38 SHDN 2004_08_17 PC4 0.22U-25V_6
8774SKIP# 39
(5) PSI# SKIP
For EMI PC125
2200P-50V_4

PR106 20K/F_6 PC109 470P-50V_4 18


GND
VCC_CORE 2 1 9 CCI

PR40 *1.5K/F_6 PC48 *1000P-50V_4 VCC_CORE


8774CSP1
MAX Current 31A
PR111 71.5K/F_6 16 2 1
8774TIME 6 CSP1 8774CSP2 PR50 10/F_6
TIME CSP2 15

2
PC13 470P-50V_4 11 PR41 2.49K/F_6 PC42
8774CCV 8 FB 1000P-50V_4
1 2 CCV COREFB+V (5) VCC_CORE
COREFB- (5)

1
PR2

1
PC9 12 8774GNDS + PC129
8774REF 10 GNDS + + + + +
REF 10/F_6

2
1
0.22U-25V_6
2004_08_17

2
PC8 PR3
A 1000P-50V_4 10/F_6 VIN_8774 PC136 A

2
PR114 +5VPCU For EMI PC1 PC45 PC134 *470U-2.5V_7343 0.1U-50V_6
PC6 PC121 PC120 470U-2.5V_7343 470U-2.5V_7343 PC132

2
31.6K/F_4 PC130 PC46 *1000U-2.5V
PC112 470U-2.5V_7343 470U-2.5V_7343

2200P-50V_4
PR12 169K/F_6 PD1

5
8774OFS 2

0.1U-50V_6

10U/25V_12

10U-25V_1206

470U-25V
RB500V PR9 +
OFS
3

1
21 8774DH2 2.2/F_6
DH2
1

4
PSI# 2 PQ1 PC26 20 8774BST2
2N7002E 470P-50V_4 BST2 PQ30
2

1
2
3
PR6 2.2/F_6 AOL1414 PC19
PC17
0.22U-25V_6
2200P-50V_6
2004_08_17
1

PL12 0.36UH
PR116 100K_4
LX2 22 8774LX2 1 2 Update to TOKIN: DC+36Q0M001
2004_08_17 +5VPCU VRHOT# 4 VRHOT PR11

4
5
Update to 5% PR117 10K/F_6 DL2 24
2.2/F_6

2
3 VIN_8774 VIN_8774 VIN_8774 VIN_8774
POUT PR36
4
1

13 8774CSN1 1.62K/F_4 PR42 PR119


CSP2

1
PC117 14 8774CSN2 PC16 3.01K/F_4 NTC 10K_6-B4.25K
CSN2

1
2
3
470P-50V_4 PQ31 2200P-50V_6 PC107 PC154 PC157
2

1
AOL1412
8774VCC PR112 10K_6 THRM 5 THRM PGND2 23 2004_08_17 2 PC5 0.22U-25V_6 PC10 PC11 1000P/50V_6 1000P/50V_6 1000P/50V_6
41 0.1U-50V_6 0.1U-50V_6
GND PC105
GND 42
47 GND GND 43 For EMI 2200P-50V_4
PR113 48 44
*NTC 10K_6-B4.25K GND GND
49 GND GND 45
50 GND MAX8774 GND 46 For EMI
PU1

PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
MAX8774 1A

Date: Friday, September 08, 2006 Sheet 28 of 31


1
5 4 3 2 1

2004_08_17 PL13
VIN
PR67 N20122PS800_8
+1.8VSUS
PC142 PC140 PC141
*2.2/F_6

5
6
7
8
MAX Current 5.807A
PC128
PQ36
10U-10V_1206 FDS8884
PU6 4 PC58

28

29

30
D TPS51116 *2200P-50V_6 2200P-50V_6 10U-25V_1206 10U-25V_1206 D
1 19

GND

GND

GND
VLDOIN DRVH

MAX Current 2.25A 2 20 PC139 0.1U-50V_8 PL14


+0.9V_VTER VTT VBST 1.5UH_10A
PC54 PC53 4 18 +1.8VSUS
VTTSNS LL

6 3
7 2
8 1
5
10U-10V_1206 10U-10V_1206 5 17
GND DRVL PQ37 + PC145
3 16 FDS6690AS PR68 + PC143 PC144
VTTGND PGND *470U-2.5V_7343
4
DIS_MODE 6 11 S3_1.8V PR123 0_6 *2.2/F_6 470U-2.5V_7343 *10U-10V_8
MODE S3 MAINON (25,30,31)
7 12 S5_1.8V PR124 0_6
+0.9V_REF VTTREF S5 SUSON (25,30)
5VIN 8 14 5VIN PR138 *0_6 PC59
PC55 COMP V5IN *2200P-50V_6

3
2
1
0.033U-50V_6 9 13 +3VPCU
PR122 VDDSNS PGOOD
PR66 100K_6

GND
GND
GND
GND
GND
GND
GND
5VIN 10 15
VDDQSET CS
0_6

21
22
23
24
25
26
27
FOR DDR II PC138 PR64
2004_08_17
PR121 *0_6 DIS_MODE *1000P-50V_6
12K/F_6
Update to 5%
PR65
5VIN
+5VPCU HWPG_1.8V (25)

1
0_6 PC137
+1.8VSUS PR58 0_6
4.7U-6.3V_6
+1.8VSUS

1
2
5
6
C C
(30) MAIND
2004_08_17 PR71 0_6 3 PQ39
AO6402

1
Update to 5% PC60

4
MAX Current 1.323A
PR132 PU8 *0.47U-10V_6
+1.8V

2
(25,30) S5_ON 1 2 1 SHDN VO 4 +1.8V_S5
0_6
2 PC61
GND 0.1U-50V_6
+3VPCU 3 VIN SET 5
PR130
G913C
47K/F_6 PC148
PC149 10U-10V_8
10U-10V_8

PR131
100K/F_6

Vout =1.25(1+R1/R2)
= 1.25 (1+44K/100K)
= 1.8V

B B

PR61
9.09K/F_6
PL1
2004_08_17 VIN

Update to 5% +5VPCU
PC22 PC39
N20122PS800_8

PR62 2200P-50V_6 10U/25V_12


PQ2
15K/F_6
2

5
6
7
8
FDS8884
PR57
100_6
2004_08_17 PD5
PR20

RB500V *2.2/F_6

MAX Current 8.256A


PU3 4
PR52 0_6 TPS51117
(25) 1.2V_ON
1

PC34
PR51 *0_6 1 14 PR56 0_6 *2200P-50V_6
(25,30,31) MAINON EN_PSV VBST +1.2V
2 13 PC50 PL10
TON DRVH
3
2
0.1U-50V_6 1 1.5UH_10A
3 VOUT LL 12
PR60
4 V5FILT TRIP 11

1
2004_08_17

1
5 10 12K/F_6 PC133 + + PC135
+3V PR63 VFB V5DRV PC57 *10U-10V_8
1

Update to 5% 6 PGOOD DRVL 9 0.1U-50V_6

2
5
6
7
8

PR43
7 8 4.7U-10V_8
100K_6 GND PGND
2

A *2.2/F_6 A
4 PC152 PC131
150U-6.3V_7343 150U-6.3V_7343
(25) HWPG_1.2V
PC56
4.7U-6.3V_6
FDS6690AS
PC33
*2200P-50V_6 2004_08_17
PQ5
3
2
1

PR59 PROJECT : ZR3


Quanta Computer Inc.
200K/F_6

Size Document Number Rev


1.8V/1.2V 1A

Date: Friday, September 08, 2006 Sheet 29 of 31


5 4 3 2 1
5 4 3 2 1
+5VPCU +5VPCU

VIN51120-5 PC98 PC101

5
6
7
8

1
2
5
6
PL4 0.1U-50V_6 0.1U-50V_6
VIN
MAIND 3 PQ24
PR97 HI0805R800R_5A S5D 4 AO6402
+3VPCU PQ23

5
6
7
8
*2.2/F_6 PC72 PC74

4
0.1U-50V_6 10U/25V_12 AOS4702

D PR85
4
PQ19 PC91 PC77
+5V
D

3
2
1
100K_6
FDS8884
*2200P-50V_6
10U/25V_12 2004_08_17 PC99
0.1U-50V_6
+5V_S5

0.1U-50V_6 PL5 PC97

3
2
1
(25) HWPG_SYS PC90 3.3UH_11A 0.1U-50V_6
+5VPCU

5
6
7
8
PR100
+3VPCU

1
51120DL3 4 PQ22 *2.2/F_6 MAX Current 8.9A
+ +
FDS6690AS

2
PC96 PC63
*2200P-50V_6 PC102

1
2
5
6
PC103 0.1U-50V_6

35
34
33
32

31

30

29

28

27

26

25

3
2
1
PU4 PC153 PC100 *10U-10V_8 0.1U-50V_6
36 150U-6.3_7343 150U-6.3_7343 MAIND 3 PQ12

GND
GND
GND
SKIPSEL

PGOOD1
TONSEL

EN1

VBST1

DRVH1

LL1

DRVL1
GND AO6402
37 GND
PR90 38 TYP ESR=14mR
PC81 GND
*30K/F_6 1 VO1 PGND1 24

4
*100P-50V_6
2 COMP1 CS1 23 +3V
3 22 PR96
VFB1 VIN 14K/F_6 PC64
4 21 VREG5 PR135 0.1U-50V_6
PR92 VREF2 VREG5 5.6/F_6
*7.5K/F_6 5 20 +3VPCU
PC84 GND V5FILT
1000P-50V_6 6 19
VFB2 VREG3

C 7 COMP2 CS2 18

PR134 PC80
PC68 C
PR94 8 VO2 PGND2 17

PGOOD2

1
2
5
6
39 12K/F_6 0.1U-50V_6

DRVH2

DRVL2
GND

VBST2
40
GND 1U-10V_6
GND

EN5

EN3

EN2

LL2
41 VIN51120-3 S5D 3 PQ13
PR83 GND PC83 PL3 AO6402
EN3 VREG5 0_6 10U-10V_8
TPS51120
42

10

11

12

13

14

15

16
PC78
VIN

4
10U-10V_8 HI0805R800R_8_5A
0_6 PR133
+3V_S5
*2.2/F_6 PC86 PC70 PC71
0.1U-50V_6 10U/25V_12 PC67
EN3 0.1U-50V_6

1
10U/25V_12

D1

D1
+3VPCU

S2

G2
PR137 0_6 PC151
(5) THERM_SYS_PWR
*2200P-50V_6
1

MAX Current 4.679A


PC159 PC75
*1U-10V_6 PR89 0.1U-50V_6 PQ15 PC85

S1/D2
2

2004_08_17 0_6

G1

1
2
5
6
SI4914DY 0.1U-50V_6

8
PD6 PL2 SUSD 3 PQ17
CHN217 2.5UH_7.5A AO6402
+3VPCU
PR79 0_6
2 +5VPCU

4
PC87 PR78

1
51120DL3 +3VSUS
3 *2.2/F_6 +
0.1U-50V_6 10V-1 PR80 *0_6 PC66
1 10V
0.1U-50V_6 PC79

2
PC69 0.1U-50V_6

B B
1

*2200P-50V_6
PC73
1U-10V_6 PC150 PC65 2004_08_17
2

390U-6.3V *10U-10V_8
Update to 5%
PR82
PC76
TYP ESR=25mR 2004_08_17
PD7 *17.4K/F_6 *100P-50V_6 VIN +1.8VSUS +3VSUS 15V
CHN217

PR81 0_6 10V-1


PC92 2 PR104 PR70 PR87 PR105
51120DL3 1M_6 22_8 22_8 1M_6
3 PR88
0.1U-50V_6 PR91 0_6 *7.5K/F_6
1 15V
SUSD
1

3
3
PC82
1U-10V_6
2

(25,29) SUSON 2 2 2 2
2004_08_17 PR103 PC104
2004_08_17 PQ28 1M_6 PQ7 PQ18 PQ27 *2200P-50V_6

1
2004_08_17 2004_08_17 DTC144EU 2N7002E 2N7002E 2N7002E

1
Update to 5% VIN +5V +1.8V +1.2V +2.5V +3V +3V +1.5V 15V
Update to 5% Update to 5%
PR72 PR102 PR129 PR69 PR127 PR75 PR136 PR95 PR74 2004_08_17
1M_6 22_8 22_8 22_8 22_8 15_8 15_8 22_8 1M_6 VIN +5V_S5 +3V_S5 +1.8V_S5 15V
Update to 5%
MAIND (29)

A
PR84 PR101 PR77 PR76 PR93
A
3

1M_6 22_8 22_8 22_8 1M_6


3

2 PR73 2 2 2 2 2 2 2 2 PC62
(25,29,31) MAINON S5D
1M_6
3

3
PQ21 PQ9 *2200P-50V_6
3

PQ8 PQ26 PQ40 PQ6 PQ38 PQ10 PQ41 2N7002E


1

DTC144EU 2N7002E 2N7002E 2N7002E 2N7002E 2N7002E 2N7002E 2N7002E


PROJECT : ZR3
1

2 2 2 2 2 PC89
(25,29) S5_ON
*2200P-50V_6
PR86 Quanta Computer Inc.
PQ16 1M_6 PQ25 PQ14 PQ11 PQ20
1

DTC144EU 2N7002E 2N7002E 2N7002E 2N7002E


1

1
Size Document Number Rev
3V/5V 1A

Date: Friday, September 08, 2006 Sheet 30 of 31

5 4 3 2 1
5 4 3 2 1

MAX Current 1A
PC88 +5V

D 0.1U-50V_6 D

2 1 PU5
G966
4 VPP PGOOD 1
+1.5V
(25,29,30) MAINON 2 VEN VO 6

+1.8V 3 VIN
8 GND

ADJ
9 GND NC 5

1
PC95 PC93
10U-10V_8

7
0.1U-50V_6 PR98

2
PC94 1 2
10U-10V_8
30K/F_6

PR99
34K/F_6

C C
Vout =0.8(R1+R2)/R2
= 0.8(30+34)/34 =
1.5V
MAX Current 0.3A

PR128 PU7
(25,29,30) MAINON 1 2 1 SHDN VO 4 +2.5V
0_6
2 GND
+3VPCU 3 VIN SET 5

G913-C PR126
20K/F_6 PC146
PC147 10U-10V_8
B 10U-10V_8 B

PR125
20K/F_6

Vout =1.25(1+R1/R2)
= 1.25 (1+20K/20K)
= 2.5V

A A

PROJECT : ZR3
Quanta Computer Inc.
Size Document Number Rev
+1.5V/+2.5V 1A

Date: Friday, September 08, 2006 Sheet 31 of 31


5 4 3 2 1

Potrebbero piacerti anche