Sei sulla pagina 1di 51

1

CHEMICAL VAPOUR DEPOSITION

MAJOR PROJECT REPORT


By
ARVIND CHAHAL
(Roll No. 11001005007)
PARVESH
(Roll No. 11001005032)

In partial fulfillment for the award of the Degree of

BACHELOR OF TECHNOLOGY

IN

CHEMICAL ENGINEERING

Under the esteemed guidance of

MR. YASHWANT VERMA


(Assistant Professor)

Department of Chemical Engineering

Deenbandhu Chhotu Ram University of Science & Technology

Murthal, Sonepat – 131039 (Haryana)


2

CERTIFICATE

This is to certify that the Project entitled, “Chemical Vapour Deposition ” submitted
by Arvind Chahal(11001005007) and Parvesh(11001005032) for the requirement of the
awardof Bachelor of Technology in Chemical Engineering at Deenbandhu Chhotu Ram
University Of Science & Technology, Murthal, is an authentic work carried out by him under
my supervision and guidance.

MR. Yashwant Verma


Asst. Professor
Department of Chemical Engineering

i
3

ACKNOWLEDGEMENT

I would like to make my deepest appreciation and gratitude to Mr. Yashwant Verma for his
valuable guidance, constructive criticism and encouragement during every stage of this
project. I am totally indebted to him for providing me the right guidance to work on an
emerging area of chemical engineering. He was very supportive throughout the project and
was always ready to help.

I would like to specially thank Mr. S.K Sharma who provided me the opportunity to work on
this area. During the initial stages of the project he provided me with invaluable guidance and
encouragement and also helped me understand the project. He was always very inspiring and
motivated me to work on this project.

I owe a depth of gratitude to Dr. D.P. Tiwari, H.O.D, Department of Chemical Engineering,
for all the facilities provided during the course of my tenure.

I would like to thank all faculty and friends of Chemical Engineering Department, DCRUST,
Murthal for their support and encouragement.

Arvind Chahal
11001005007
Parvesh
11001005032
Final year
B. Tech
(Chemical Engineering)
4

CONTENTS

1. Introduction
5

Introduction

Chemical vapour deposition (CVD) is a chemical process used to produce high


quality, high-performance, solid materials. The process is often used in
the semiconductor industry to produce thin films. In typical CVD, the wafer (substrate)
is exposed to one or more volatile precursors, which react and/or decompose on the
substrate surface to produce the desired deposit. Frequently, volatile by-products are
also produced, which are removed by gas flow through the reaction chamber.
Microfabrication processes widely use CVD to deposit materials in various forms,
including: monocrystalline, polycrystalline, amorphous, and epitaxial. These materials
include: silicon, carbon fibres, carbon nanofibers, fluorocarbons, filaments, carbon
nanotubes, SiO2, silicon-germanium, tungsten, silicon carbide, silicon nitride, silicon
oxynitride, titanium nitride, and various high-k dielectrics. CVD is also used to
produce synthetic diamonds.

Types
CVD is practiced in a variety of formats. These processes generally differ in the means
by which chemical reactions are initiated.

 Classified by operating pressure:


 Atmospheric pressure CVD (APCVD) – CVD at atmospheric pressure.
 Low-pressure CVD (LPCVD) – CVD at sub-atmospheric pressures.
[1]
 Reduced pressures tend to reduce unwanted gas-phase reactions and improve
film uniformity across the wafer.
 Ultrahigh vacuum CVD (UHVCVD) – CVD at very low pressure,
typically below 10−6 Pa (~10−8 torr). Note that in other fields, a lower division
between high and ultra-high vacuum is common, often 10−7 Pa.
Most modern CVD is either LPCVD or UHVCVD.

 Classified by physical characteristics of vapor:


 Aerosol assisted CVD (AACVD) – CVD in which the precursors are
transported to the substrate by means of a liquid/gas aerosol, which can be
generated ultrasonically. This technique is suitable for use with non-volatile
precursors.
 Direct liquid injection CVD (DLICVD) – CVD in which the precursors
are in liquid form (liquid or solid dissolved in a convenient solvent). Liquid
solutions are injected in a vaporization chamber towards injectors (typically car
injectors). The precursor vapours are then transported to the substrate as in
classical CVD. This technique is suitable for use on liquid or solid precursors.
High growth rates can be reached using this technique.
 Plasma methods (see also Plasma processing):
 Microwave plasma-assisted CVD (MPCVD)
 Plasma-Enhanced CVD (PECVD) – CVD that utilizes plasma to enhance
chemical reaction rates of the precursors.PECVD processing allows deposition
at lower temperatures, which is often critical in the manufacture of
6

semiconductors. The lower temperatures also allow for the deposition of organic
coatings, such as plasma polymers, that have been used for nanoparticle surface
functionalization.
Remote plasma-enhanced CVD (RPECVD) – Similar to PECVD except that the wafer
substrate is not directly in the plasma discharge region. Removing the wafer from the
plasma region allows processing temperatures down to room temperature

 Atomic-layer CVD (ALCVD) – Deposits successive layers of different


substances to produce layered, crystalline films. See Atomic layer epitaxy.
 Combustion Chemical Vapor Deposition (CCVD) – Combustion Chemical
Vapor Deposition or flame pyrolysis is an open-atmosphere, flame-based technique
for depositing high-quality thin films and nanomaterials.
 hot filament CVD (HFCVD) – also known as catalytic CVD (Cat-CVD) or more
commonly, initiated CVD (iCVD), this process uses a hot filament to chemically
decompose the source gases.The filament temperature and substrate temperature
thus are independently controlled, allowing colder temperatures for better
adsorption rates at the substrate and higher temperatures necessary for
decomposition of precursors to free radicals at the filament.
 HybridPhysical-chemical Vapor Deposition (HPCVD) – This process involves
both chemical decomposition of precursor gas and vaporization of a solid source.
 Metalorganic chemical vapor deposition (MOCVD) – This CVD process is
based on metalorganic precursors.
 Rapid thermal CVD (RTCVD) – This CVD process uses heating lamps or other
methods to rapidly heat the wafer substrate. Heating only the substrate rather than
the gas or chamber walls helps reduce unwanted gas-phase reactions that can lead
to particle formation.
 Vapor-phase epitaxy (VPE)
 Photo-initiated CVD (PICVD) – This process uses UV light to stimulate
chemical reactions. It is similar to plasma processing, given that plasmas are strong
emitters of UV radiation. Under certain conditions, PICVD can be operated at or
near atmospheric pressure.

Commercially important materials prepared by CVD are


Polysilicon

Polycrystalline silicon is deposited from trichlorosilane (SiHCl3) or silane (SiH4), using


the following reactions:
SiH3Cl → Si + H2 + HCl
SiH4 → Si + 2 H2

This reaction is usually performed in LPCVD systems, with either pure silane feedstock,
or a solution of silane with 70–80% nitrogen. Temperatures between 600 and 650 °C
and pressures between 25 and 150 Pa yield a growth rate between 10 and 20 nm per
7

minute. An alternative process uses a hydrogen-based solution. The hydrogen reduces


the growth rate, but the temperature is raised to 850 or even 1050 °C to compensate.
Polysilicon may be grown directly with doping, if gases such
as phosphine, arsine or diborane are added to the CVD chamber. Diborane increases the
growth rate, but arsine and phosphine decrease it.
Silicon dioxide

Silicon dioxide (usually called simply "oxide" in the semiconductor industry) may be
deposited by several different processes. Common source gases
include silane and oxygen,dichlorosilane (SiCl2H2) and nitrous oxide (N2O),
or tetraethylorthosilicate (TEOS; Si(OC2H5)4). The reactions are as follow:
SiH4 + O2 → SiO2 + 2 H2
SiCl2H2 + 2 N2O → SiO2 + 2 N2 + 2 HCl
Si(OC2H5)4 → SiO2 + byproducts

The choice of source gas depends on the thermal stability of the substrate; for
instance, aluminium is sensitive to high temperature. Silane deposits between 300 and
500 °C, dichlorosilane at around 900 °C, and TEOS between 650 and 750 °C, resulting
in a layer of low- temperature oxide (LTO). However, silane produces a lower-quality
oxide than the other methods (lower dielectric strength, for instance), and it deposits
nonconformally. Any of these reactions may be used in LPCVD, but the silane reaction
is also done in APCVD. CVD oxide invariably has lower quality than thermal oxide, but
thermal oxidation can only be used in the earliest stages of IC manufacturing.
Oxide may also be grown with impurities (alloying or "doping"). This may have two
purposes. During further process steps that occur at high temperature, the impurities
maydiffuse from the oxide into adjacent layers (most notably silicon) and dope them.
Oxides containing 5–15% impurities by mass are often used for this purpose. In
addition, silicon dioxide alloyed with phosphorus pentoxide ("P-glass") can be used to
smooth out uneven surfaces. P-glass softens and reflows at temperatures above 1000 °C.
This process requires a phosphorus concentration of at least 6%, but concentrations
above 8% can corrode aluminium. Phosphorus is deposited from phosphine gas and
oxygen:
4 PH3 + 5 O2 → 2 P2O5 + 6 H2

Glasses containing both boron and phosphorus (borophosphosilicate glass, BPSG)


undergo viscous flow at lower temperatures; around 850 °C is achievable with glasses
containing around 5 weight % of both constituents, but stability in air can be difficult to
achieve. Phosphorus oxide in high concentrations interacts with ambient moisture to
produce phosphoric acid. Crystals of BPO4 can also precipitate from the flowing glass
on cooling; these crystals are not readily etched in the standard reactive plasmas used to
pattern oxides, and will result in circuit defects in integrated circuit manufacturing.
8

Besides these intentional impurities, CVD oxide may contain byproducts of the
deposition. TEOS produces a relatively pure oxide, whereas silane introduces hydrogen
impurities, and dichlorosilane introduces chlorine.
Lower temperature deposition of silicon dioxide and doped glasses from TEOS using
ozone rather than oxygen has also been explored (350 to 500 °C). Ozone glasses have
excellent conformality but tend to be hygroscopic – that is, they absorb water from the
air due to the incorporation of silanol (Si-OH) in the glass. Infrared spectroscopy and
mechanical strain as a function of temperature are valuable diagnostic tools for
diagnosing such problems.
Silicon nitride
Silicon nitride is often used as an insulator and chemical barrier in manufacturing ICs.
The following two reactions deposit silicon nitride from the gas phase:
3 SiH4 + 4 NH3 → Si3N4 + 12 H2
3 SiCl2H2 + 4 NH3 → Si3N4 + 6 HCl + 6 H2

Silicon nitride deposited by LPCVD contains up to 8% hydrogen. It also experiences


strong tensile stress, which may crack films thicker than 200 nm. However, it has high
erresistivity and dielectric strength than most insulators commonly available in
microfabrication (1016 Ω·cm and 10 MV/cm, respectively).
Another two reactions may be used in plasma to deposit SiNH:
2 SiH4 + N2 → 2 SiNH + 3 H2
SiH4 + NH3 → SiNH + 3 H2

These films have much less tensile stress, but worse electrical properties (resistivity
106 to 1015 Ω·cm, and dielectric strength 1 to 5 MV/cm).[11]
Metals

CVD for tungsten is achieved from tungsten hexafluoride (WF6), which may be


deposited in two ways:
WF6 → W + 3 F2
WF6 + 3 H2 → W + 6 HF
Other metals, notably aluminium and copper, can be deposited by CVD. As of 2010

commercially cost-effective CVD for copper did not exist, although volatile sources
exist, such as Cu(hfac)2. Copper is typically deposited by electroplating. Aluminum can
be deposited from tri isobutylaluminium (TIBAL) and related organoaluminium
compounds.

CVD for molybdenum, tantalum, titanium, nickel is widely used. These metals can form


useful silicides when deposited onto silicon. Mo, Ta and Ti are deposited by LPCVD,
from their pentachlorides. Nickel, molybdenum, and tungsten can be deposited at low
9

temperatures from their carbonyl precursors. In general, for an arbitrary metal M, the
chloride deposition reaction is as follows:
2 MCl5 + 5 H2 → 2 M + 10 HCl
whereas the carbonyl decomposition reaction can happen spontaneously under thermal
treatment or acoustic cavitation and is as follows:
M(CO)n → M + n CO

the decomposition of metal carbonyls is often violently precipitated by moisture or air,


where oxygen reacts with the metal precursor to form metal or metal oxide along with
carbon dioxide.
Niobium(V) oxide layers can be produced by the thermal decomposition of niobium(V)
ethoxide with the loss of diethyl ether according to the equation:
2 Nb(OC2H5)5 → Nb2O5 + 5 C2H5OC2H5

Diamond

Colorless gem cut from diamond grown by chemical vapor deposition

Chemical vapor deposition (CVD) can be used to produce a synthetic diamond by


creating the circumstances necessary for carbon atoms in a gas to settle on a substrate in
crystalline form.
CVD production of diamonds has received a great deal of attention in the materials
sciences because it allows many new applications of diamonds that had previously been
considered too difficult to make economical. CVD diamond growth typically occurs
under low pressure(1–27 kPa; 0.145–3.926 psi; 7.5-203 Torr) and involves feeding
varying amounts of gases into a chamber, energizing them and providing conditions for
diamond growth on the substrate. The gases always include a carbon source, and
typically include hydrogen as well, though the amounts used vary greatly depending on
the type of diamond being grown. Energy sources include hot
filament, microwave power

and arc discharges, among others. The energy source is intended to generate a plasma in
which the gases are broken down and more complex chemistries occur. The actual
10

chemical process for diamond growth is still under study and is complicated by the very
wide variety of diamond growth processes used.

Using CVD, films of diamond can be grown over large areas of substrate with control
over the properties of the diamond produced. In the past, when high pressure high
temperature (HPHT) techniques were used to produce a diamond, the result was
typically very small free standing diamonds of varying sizes. With CVD diamond
growth areas of greater than fifteen centimeters (six inches) diameter have been
achieved and much larger areas are likely to be successfully coated with diamond in the
future. Improving this process is key to enabling several important applications.
The growth of diamond directly on a substrate allows the addition of many of diamond's
important qualities to other materials. Since diamond has the highest thermal
conductivityof any bulk material, layering diamond onto high heat producing
electronics (such as optics and transistors) allows the diamond to be used as a heat
sink. Diamond films are being

grown on valve rings, cutting tools, and other objects that benefit from diamond's
hardness and exceedingly low wear rate. In each case the diamond growth must be
carefully done to achieve the necessary adhesion onto the substrate. Diamond's very
high scratch resistance and thermal conductivity, combined with a lower coefficient of
thermal expansion than Pyrex glass, a coefficient of friction close to that of Teflon
(Polytetrafluoroethylene) and strong lipophilicity would make it a nearly ideal non-stick
coating for cookware if large substrate areas could be coated economically.

CVD growth allows one to control the properties of the diamond produced. In the area
of diamond growth, the word "diamond" is used as a description of any material
primarily made up of sp3 bonded carbon, and there are many different types of diamond
included in this. By regulating the processing parameters—especially the gases
introduced, but also including the pressure the system is operated under, the temperature
of the diamond, and the method of generating plasma—many different materials that
can be considered diamond can be made. Single crystal diamond can be made
containing various dopants. Polycrystalline diamond consisting of grain sizes from
several nanometersto

several micrometers can be grown.[14][17] Some polycrystalline diamond grains are


surrounded by thin, non-diamond carbon, while others are not. These different factors
affect the diamond's hardness, smoothness, conductivity, optical properties and more

Fundamental Processes in the Creation of CVD Graphene

CVD graphene is created in two steps, the precursor pyrolysis of a material to form
carbon, and the formation of the carbon structure of graphene using the disassociated
carbon atoms. The first stage, the pyrolysis to disassociated carbon atoms, must be
carried out on the surface of the substrate to prevent the precipitation of carbon clusters
(soot) during the gas phase. The problem with this is that the pyrolytic decomposition of
11

precursors requires extreme levels of heat, and therefore metal catalysts must be used to
reduce the reaction temperature.

The second phase of creating the carbon structure out of the disassociated carbon atoms,
also requires a very high level of heat (over 2500 degrees Celsius without a catalyst), so
a catalyst is imperative at this stage to reduce the temperature needed for a reaction to
occur to around 1000 degrees Celsius. The problem with using catalysts is that you are
effectively introducing more compounds into the reaction chamber, which will have an
effect on the reactions inside the chamber. One example of these effects is the way the
carbon atoms dissolve into certain substrates such as Nickel during the cooling phase.

What all this means is that it is vitally important that the CVD process is very
stringently co-ordinated, and that controls are put in place at every stage of the process
to ensure that the reactions occur effectively, and that the quality of graphene produced
is of the highest attainable.

Problems Associated with the Creation of CVD Graphene

In order to create monolayer or few layer graphene on a substrate, scientists must first
overcome the biggest issues with the methods that have been observed so far.

The first major problem is that while it is possible to create high quality graphene on a
substrate using CVD, the successful separation or exfoliation of graphene from the

substrate has been a bit of a stumbling block. The reason for this is primarily because
the relationship between graphene and the substrate it is ‘grown’ on is not yet fully
understood, so it is not easy to achieve separation without damaging the structure of the
graphene or affecting the properties of the material. The techniques on how to achieve
this separation differ depending on the type of substrate used. Often scientists can
choose to dissolve the substrate in harmful acids, but this process commonly affects the
quality of the graphene produced, so other methods are currently being researched.

One alternative method that has been researched involves the creation of CVD graphene
on a copper (Cu) substrate (in this example, Cu is used as a catalyst in the reaction).
During CVD a reaction occurs between the copper substrate and the graphene that
create a high level of hydrostatic compression, coupling the graphene to the substrate. It
has been shown to be possible, however, to intercalate a layer of copper oxide (which is
mechanically and chemically weak) between the graphene and the copper substrate to
reduce this pressure and enable the graphene to be removed relatively easily (also, in
this instance, the substrate can be reused).

Scientists have also been looking into using Poly(methyl methacrylate) (PMMA) as a
support polymer to facilitate the transfer of graphene onto an alternate substrate. With
this method, graphene is coated with PMMA, and the previous substrate is etched.
12

Then, the coated graphene is strong enough to be transferred to another substrate


without damaging the material. Other support polymers that have been tested include
thermal release tape and PDMS (Polydimethylsiloxane). However, PMMA has been
shown to be the most effective at transferring the graphene without excessive damage.

Another major hurdle is creating a completely uniform layer of graphene on a substrate.


This is difficult to achieve as the kinetic transport dynamics of gas is affected by
diffusion and convection, meaning that these values change within the space of a
reaction chamber, in turn affecting the chemical reactions on the substrate. Also, due to
fluid dynamics, there might be a depletion of reactants by the time gas reaches the
further ends of the substrate, meaning that no reaction will occur. Some scientists have
reported overcoming this issue by modifying the concentration of gases and also by
incorporating spin coating methods.

Current and Potential Solutions

In terms of overcoming these issues, scientists have been developing more complex
techniques and guidelines to follow in order to create the highest quality of graphene
possible. One introductory technique to reducing the effects of these issues is by treating
the substrate before the reaction takes place. A copper substrate can be

chemically treated to enable reduced catalytic activity, increase the Cu grain size and
rearrange the surface morphology in order to facilitate the growth of graphene flakes
that contain fewer imperfections.

This point of treating the substrate prior to deposition is something that will continue to
be researched for a long time, as we slowly learn how to modify the structure of
graphene to suit different applications. For example, in order to enable graphene to be
effectively used in superconductors, doping must be carried out on the material in order
to create a band-gap. This process could potentially be something that is carried out on a
substrate before deposition occurs rather than treating the material after CVD.

Thin film requirements: Any deposition method must satisfy certain requirements:

 The deposition must be uniform throughout the wafer


 A very good control is necessary. If we want 1000 nm of the material deposited
on the wafer, then the variation must be within one or two percentage. i.e. the
thickness of the film after deposition must be at the minimum 980 nm and at the
most 1020 nm.
 In the places where trenches or vias are made, the side wall coverage must be
good. This is explained in the later section (figure 3.11)
13

 The material should adhere to the wafer well and should not peel off
 Dust particles should not fall onto the wafer during the deposition process
 The crystal structure of the film deposited must be of sufficient quality because
it will affect the properties of the film. For example, when copper is deposited,
we need large grain size since it will result in less electrical resistance.
 If we are depositing alloys, then the composition must be uniform throughout
the process

In general, PVD is used to deposit titanium, titanium nitrate, tantalum, tantalum nitrate,
aluminum and a very thin film of copper called seed layer. CVD is used to deposit
tungsten, titanium, again copper seed layer, silicon di-oxide, silicon nitride, etc.
Electrochemical deposition is used for depositing copper. Spin-on coating is used to
deposit insulators or dielectric materials, which are usually organic in
nature.Historically, some of the metals were evaporated and then the vapor was
deposited onto the wafer. The wafer would be kept at lower temperature and the vapor
will cool down and form the solid metal. This methods used large amount of energy and
had other difficulties. It could not be used for all materials, and particularly for alloys.
The material will also deposit on other places like the chamber walls. Hence, it is not
currently used for IC manufacturing.

If the raw material is taken in gaseous form and the final material is deposited on the
wafer after reaction, it is called chemical vapor deposition (CVD). If the material is
taken in solid state, but sent as very small particles or atoms and deposited on the wafer
without any reaction, it is called physical vapor deposition (PVD). We will first see the
details of the PVD.

Chemical Vapour Deposition


In the PVD method described above, it is not easy to deposit a material on the side walls
as shown in fig 1. This is especially true if the depth is very high and the opening si
small. If the ratio of diameter (or width) to the depth, known as aspect ratio, is very
high,  PVD cannot give a good side wall coverage (Figure 2). However, CVD can be
used to get a good side wall coverage (Figure 3).
14
15

Fig 1

Fig2
16

Fig3

Fig4

A large CVD chamber may be of 6 ft long and 3 ft diameter.  In this,  a material may be
deposited on a lot (i.e. a batch) of 25 wafers. The CVD chamber will have pipes and
automatic valves to create vacuum and to supply desired gases at controlled rates. The
material to be deposited (e.g. tungsten) will be in the form of a compound, in the
17

gaseous form. The CVD chamber will also have facilities to heat the wafers in a
controlled fashion. A simple schematic of a CVD chamber is given in Figure 3.16

Fig5

Operation: First the wafers are kept in the chamber and the chamber is evacuated. Then
the wafers will be heated to the desired temperature. Next the gases are supplied and
they react only on the surface of the wafer and deposit the material. For example, let us
assume that we want to deposit tungsten on the wafer. For this, a mixture of tungsten
hexa fluoride, hydrogen and nitrogen  (all in gaseous form) will be supplied to the
chamber at low pressure. The temperature of the wafer would be maintained between
150 to 300 oC. In the CVD technology, the phrase “high temperature” refers to 800+ oC
and low temperature may refer to “less than 400 oC”. Very low temperature may refer
to room temperature.

In most of the CVD tools, all the chamber walls will be maintained at relatively lower
temperature compared to the wafer.  Only the wafers would be heated. WF6 and H2 will
react only at high temperature. Hence the reaction will occur only on the wafer and not
on the chamber walls. This type of CVD process is called low pressure CVD or
LPCVD. Using this method many materials such as  W, Ti, TiN, Cu, SiO2, Si3N4, Si
etc can be deposited on wafer. The side wall coverage will also be good.

Some example reactions to deposit various materials are given below.


18

1. To deposit silicon 
            SiCl4 + 2 H2 → Si + 4 HCl
            SiH4 → Si + 2H2
2. To deposit poly silicon
            SiH4 → Si + 2H2
3.To deposit silicon di oxide
           SiH4 + O2 → SiO2 + 2H2
            SiH4 + 2N2O → SiO2 + 2N2 + 2H2
            SiH2Cl2 + 2 N2O → SiO2 + 2N2 + 2HCl
4. To deposit Silicon nitride
            3 SiCl2H2 + 4 NH2 → Si2N2 + 6H2+ 6 HCl
            SiH2 +  NH2 → SixNyHz+ H2 (some hydrogen is incorporated in the film)
5. To deposit Tungsten
            WF2 + 3 Si  → 2W + 3 SiF4
            WF6 + 3 H2  → W + 6HF

There are certain disadvantages associated with the CVD process. Many of the gases
used in CVD and highly corrosive and toxic. Hence good safety measures need to be
taken. Also, the cost of the high purity chemicals is also high.

If the temperature and pressure are not controlled well, the reaction will occur in air
itself and form tungsten (or whatever we are trying to deposit). These tungsten will
appear like a dust and will fall on wafer. This dust particle will not stick well to the
wafer and will degrade the deposited film quality.

The temperature cannot be raised arbitrarily during chip manufacturing. This is because
a transistor is made with impurities doped in particular location. When the silicon wafer
is heated, the dopants will move and the transistor will not function properly as shown
in Figure 3.17 and Figure 3.18 . To avoid this, the wafer must not be heated. Then how
do we deposit the material?  Plasma comes to help here.
19

If the WF6 and other gases are passed while a high electric voltage is applied in the
chamber, plasma will form. This is similar to creating plasma in PVD. Now the reaction
will occur even at low temperature. This type of CVD is called Plasma Enhanced CVD
or PE-CVD. In this method, high magnetic field can be applied to increase the density
of plasma and improve the deposited film quality. This process is called High Denisty
Plasma CVD or HDP-CVD

Fig6

source and drain, leading to failure.

We saw the materials such as silicon dioxide can be deposited using CVD. For example,
Tetra Ethyl Oxy Silane (TEOS) can be heated to high temperature (or disintegrate in
presence of plasma) and form silicon dioxide. Similarly silane gas (SiH4) and ammonia
will react to form silicon nitride. These films would be called as LP nitride, PE-nitride
or HDP-nitride depending on the method used to deposit them.
20

APCVD and LPCVD:


There is also another CVD method run at normal pressure. It is called atmospheric
pressured CVD or AP-CVD.  Here the temperature is relatively higher, in the range of
600 to 800 oC. In this method, a thick film can be formed quickly. However, there is
risk of generating many dust particles and the film quality will be poorer. This method
is used only in a few select cases.

Mass transfer control vs Reaction control: The following steps occur during CVD. First
the reactant molecules  diffuse through the boundary layer near gas-solid interface. Next
the adsorb on the surface. In the third step, they diffuse on the surface. In the fourth
step, they react with each other and the solid product is formed. Any gaseous byproduct
formed may be adsorbed on the surface. Next, they desorb and diffuse outwards into the
gas stream and get carried away. 
When high temperatures are used, the reaction rate is very high and the rate of diffusion
of the reactants through the boundary later decides the film growth rate.  On the other
hand, when the temperatures are lower, the mass transfer rate will decrease a bit, but the
reaction rate will decrease a lot, and the surface reaction  rate will decide the film growh
rate. A plot of film growth rate vs inverse of temperature will appear as shown below.

The two different zones where diffusion is rate limiting and kinetics are rate limiting are
marked.
21

APCVD is usually operated in high temperature regime, i.e.  the film growth rate is
diffusion controlled or mass transfer controlled. LPCVD is usually operated in relatively
low temperatures and is reaction rate controlled.

We saw that sometimes the temperature has to be raised to anywhere between 150 to
1000 oC. For lower temperatures, normal heaters may be used. For high temperatures,
heating lamps are used. The lamps enable us to start and stop the heating very quickly.
This in turn results in good control of the deposition process.

Of late, organic gases containing metal atoms or ions are used in CVD. These are called
metal-organic or MO-CVD. Based on a process that is similar to CVD, it is possible to
create films of certain materials with exactly one atom thickness! This is called atomic
layer deposition (ALD).

Atomic Layer Deposition: ALD can be thought of as a CVD technique with precise
flow control. Consider a reaction between water vapor and trimethylaluminum (TMA)
to produce alumina (Al2O3) layer. The overall reaction is

If both reactants are supplied to the chamber containing wafer, alumina layer will form
on the wafer, but the thickness will not be easy to control.  However, in ALD, first only
water vapor is sent to the chamber. A monolayer of water will chemisorb onto the
wafer. Then the chamber is evacuated and all the water vapor present, except the
adsorbed molecules, will be removed. Next, a pulse of TMA is introduced in the
chamber. Now, the TMA will react with limited watermolecules present on the wafer
and produce exactly one layer of alumina. The chamber is evacuated again and the
water vapor and TMA are sent in sequence to grow the exact number of alumina layers
required. At present, ALD is used for growing gate oxides in the advanced chips.
Instead of SiO2, other materials such as  HfO2 (hafnium oxide) are used as gate oxide in
these chips  and ALD offers the control necessary to deposit thin layers. The reaction
between tetrakis dimethyl amido hafnium (structure given below) and water or ozone
results in hafnium oxide.
22

Similarly, tetrakis dimethyl amido zirconium and water can be used as two reactants to
obtain zirconia (zirconium oxide) in ALD.

Molecular beam epitaxy: Another technique in research stage is called molecular


beam epitaxy (MBE). This is done in very high vacuum (10-8 Pa). The material to be
deposited is heated and the molecules (or atoms) will evaporate. The wafer is kept at a
lower temperature. Due to the high vacuum, the molecules will have a long mean free
path and will not interact with one another. They will deposit on the wafer and due to
the slow growth rate, it is possible to get single crystal growth using MBE. The main
disadvantage of MBE is that it is a very slow process and hence is not yet suitable for
implementation in semiconductor industry.

Copper deposited by PVD or CVD method has slightly higher resistance than the film
deposited using electrochemical methods. Hence electrochemical deposition (ECD) is
used for coating the wafer with copper

PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) is a process by which thin


films of various materials can be deposited on substrates at lower temperature than that
of standard Chemical Vapor Deposition (CVD).

In PECVD processes, deposition is achieved by introducing reactant gases between


parallel electrodes—a grounded electrode and an RF-energized electrode. The
capacitive coupling between the electrodes excites the reactant gases into a plasma,
23

which induces a chemical reaction and results in the reaction product being deposited on
the substrate. The substrate, which is placed on the grounded electrode, is typically
heated to 250°C to 350°C, depending on the specific film requirements. In comparison,
CVD requires 600°C to 800°C. The lower deposition temperatures are critical in many
applications where CVD temperatures could damage the devices being fabricated.

Plasma-Therm has been a leader in PECVD technology for more than 30 years. We
offer numerous innovations in our PECVD systems that produce high-quality films. One
of the advantages of Plasma-Therm’s PECVD is the proprietary process that allows the
tuning of silicon nitride film stress without the device damage that may result from
Mixed Frequency Deposition (MFD). Plasma-Therm offers PECVD technology on
the Vision Series and VERSALINE platforms.

For our carbon nanotube we use the following equipment.

Thermal chemical vapor deposition: A system with a tube furnace enclosing a quartz
tube. Gas flow rate and composition is determined using gas flow controllers. The
available gases include methane, acetylene, ethylene, carbon monoxide, hydrogen and
argon.

Figure 1: Thermal-CVD experimental set-up 


Plasma enhanced chemical vapor deposition: A home built system with a local heater
inside a vacuum chamber. A DC voltage is used to ignite a plasma aiding the growth of
carbon nanotubes.

 
24

Figure 2: Plasma-CVD experimental set-up 

Scanning probe microscope: A Probe nanolaboratory system from NT-MDT is used to


make topographic images of carbon nanotubes and catalyst nanoparticles. There is also
a possibility to perform STM imaging and electrical measurements. 

Raman spectrometer: A Renishaw inVia microscope with an integrated atomic force


microscope from Nanonics. There are 5 laser wavelengths available with a spot size
down to 1 µm. There is also a possibility to perform in situ electrical measurements. 

Equipment for electrical measurements: Janis vacuum probe station with liquid He
cooling possibility, Agilent B1500A parameter analyser for DC characterisation,
Network analyser, Spectrum analyser and two signal generators for high frequency
measurements. 

Access to MC2 clean room facilities for electron beam lithography, etching and
deposition etc.

Film examples &Applications


Plasma deposition is often used in semiconductor manufacturing to deposit films
conformally (covering sidewalls) and onto wafers containing metal layers or other
temperature-sensitive structures. PECVD also yields some of the fastest deposition rates
while maintaining film quality (such as roughness, defects/voids), as compared
with sputter deposition and thermal/electron-beam evaporation, often at the expense of
uniformity.
Silicon dioxide can be deposited using a combination of silicon precursor gasses
like dichlorosilane or silane and oxygen precursors, such as oxygen and nitrous oxide,
typically at pressures from a few millitorr to a few torr. Plasma-deposited silicon nitride,
formed from silane and ammonia or nitrogen, is also widely used, although it is
important to note that it is not possible to deposit a pure nitride in this fashion. Plasma
nitrides always contain a large amount of hydrogen, which can be bonded to silicon (Si-
H) or nitrogen (Si-NH); this hydrogen has an important influence on IR and UV
absorption, stability, mechanical stress, and electrical conductivity.
Silicon Dioxide can also be deposited from a tetraethoxysilane (TEOS) silicon precursor
in an oxygen or oxygen-argon plasma. These films can be contaminated with significant
25

carbon and hydrogen as silanol, and can be unstable in air. Pressures of a few torr and
small electrode spacings, and/or dual frequency deposition, are helpful to achieve high
deposition rates with good film stability.
High-density plasma deposition of silicon dioxide from silane and oxygen/argon has
been widely used to create a nearly hydrogen-free film with good conformality over
complex surfaces, the latter resulting from intense ion bombardment and consequent
sputtering of the deposited molecules from vertical onto horizontal surfaces
1.2 Processes on the hot wire and gas phase chemistry.
The composition of the gas phase arising from the reactions taking place in the hot
wire is a key issue for the understanding of the growth mechanisms in the HWCVD
technique. The effect of a hot metallic filament in a silane low pressure atmosphere
consists in the production of SiHn radicals, atomic hydrogen and silicon, molecular
hydrogen, as well as electrons emitted by thermoelectric effect and radiation.
The dissociation reactions on the hot filament surface have been claimed to be both
pyrolitic and catalytic by a number of authors. Moreover, changes in the activation
energies of the deposition rate at similar pressures have been reported when using
different metals for the filament, such as W, V and Mo, evidencing the dependence of
the decomposition mechanism on the material of the hot surface.
A number of silane dissociation reactions are possible, depending on the filament
temperature and other technological factors. One of the most interesting works about
this subject was realised by Doyle and co-workers [Doyle et al. 1988], in which mass
spectroscopy experiments were carried out in order to determine the composition of the
gas-phase arising from a hot tungsten filament in a silane atmosphere. It was reported
that at a pressure 1.3x10-2 mbar and filament temperatures under 1400ºC, a Si or Si/W
alloy film is formed onto the hot wire, and that the activation of the Si signal with the
filament temperature agrees with an evaporation mechanism from a hot surface. For the
regime of Tf>1500ºC, the study of the atomic Si, H and SiH3 signals indicates that the
main decomposition reactions are:
SiH4+ hot filament→ -SiH3+H
SiH4+ hot filament→ Si+4H
being the production of atomic Si and H more probable as the filament temperature is
raised. Other authors claim that another important dissociation reaction taking place in
the filament is
SiH4+ hot filament→ -SiH2+H2
This situation contrasts with that of silane glow discharges, in which the main
26

products are -SiH2 and -SiH3 ionised radicals produced by electronic impact. However,
some recent observations about charge effects in a-Si:H obtained by HWCVD have
been reported, which have been interpreted as the presence of charged radicals (-SiH 3+)
in the gas phase, produced by the impact of the electrons emitted from the metallic
filament. In any case, the differences in the precursors are responsible for a lower
surface mobility in HWCVD as compared to PECVD, and some results from real-time
ellipsometry and material properties of a-Si:H deposited by both methods supports such
hypothesis. As a general fact, radicals with a higher surface mobility give rise to films
with a better structural quality, due to their ability to reach the lower energy
configurations before the film structure builds up. In the case these assumptions are
true, an increased substrate temperature or hydrogen dilution would be necessary in the
HWCVD than in the PECVD technique.
The composition of the deposition atmosphere also depends on the gas pressure,
since the occurrence of collisions between the gas molecules is determined by the mean
free path in the process atmosphere. If such collisions take place, the subsequent
reactions modify the gas chemistry in the vicinity of the substrate. The parameter
governing the process regime in the HWCVD technique is the product P·d f-s, where P is
the process pressure and ds-f the filament to substrate distance. The transition from
molecular to collisional regime is usually assumed to take place at P·d f-s =1x10-
2
mbar·cm. Therefore, for a typical value of ds-f between 3 and 5 cm, a pressure of 0.1
mbar corresponds to the collisional flow, and the molecular regime is reached at process
pressures in the range of 10-3 mbar.
In the low pressure regime, few or no molecular collisions take place between the
filament and the substrate, and the transport of the species is of a ballistic kind. In such
a case, the gas-phase composition basically depends on the reaction products arising
from the hot filament, since reactions in the gas phase would be very unlikely. On the
other hand, at relatively high pressures (10 -1 mbar) the gas is under the collisional
regime, and gas-phase reactions are likely to happen in the region between the filament
and the substrate. In such a case, the transport of radicals is mainly due to diffusion
mechanisms, and the radical concentration profiles can be simulated by assuming the
decomposition reactions and the residence time of the species in the chamber, as well as
the different diffusion constants in the gas phase. Brogueira have explained the
occurrence of different transitions from amorphous to microcrystalline material when
changing different technological parameters, assuming the production of silene (-SiH2)
to be the dominant decomposition reaction. The results of this model indicate that such
changes promote variations of the atomic H concentration near the substrate, which
seem to be determining in the transition from the microcrystalline to the amorphous
27

growth.
Regarding the dissociation of molecular hydrogen by means of a hot filament, this
reaction was observed and studied by Langmuir [Langmuir, 1915]. Calculations carried
out from the expressions of atomic hydrogen production rate.They show that the
hydrogen is completely dissociated at the usual process pressures (5x10-3 to 1x10-1
mbar) at filament temperatures above 1500ºC. The atomic hydrogen produced by means
of this effect has shown the property of etching silicon layers, presenting differences in
the etching rates between amorphous and microcrystalline layers, along with a good
selectivity against metals. More recently, it has been shown that the atomic hydrogen
produced by a hot wire presents the property of recrystallising amorphous silicon
layers , similarly to the effect used in the layer-by-layer deposition method. However,
atomic hydrogen also presents the drawback of reducing some transparent conducting
oxides used as front contacts in thin film solar cell structures. This phenomenon, which
has been shown to be dramatic in SnO2, can be avoided by using ZnO layers that are
more stable under the typical deposition conditions used in HWCVD.

1.4 Main technological parameters


In order to give some insight about technological topics, a brief summary and
description of the main technological parameters is given in this section.
-The filament temperature (Tf): This is one of the most important parameters,because
of its influence on the nature of the dissociation products and thus on the composition of
the gas-phase during the film growth. It also contributes to the substrate heating.
The process pressure (P): The pressure of the gas mixture during the processinfluences
the composition of the gas phase due to the dependence of the mean free path of the
species produced by the hot wire. It also influences the mobility of the species onto the
substrate/growing layer and on the deposition rate.
The filament to substrate distance (ds-f): This parameter, along with the
pressure,determines the regime of the gas phase in the vicinity of the substrate, and thus
the nucleation and growth of the films. It has also influence on the deposition rate and
on the contribution of the hot wire to the substrate heating.
The substrate temperature (Ts): One of the most important parameters in thin
filmprocessing, it has influence on the surface mobility of the precursors arriving to the
substrate, and subsequently in the microstructure of the resulting films.
The hydrogen dilution: A hydrogen-silane mixture is usually used to deposit
goodquality µc-Si:H layers. The amount of hydrogen added to this mixture, which
28

determines the partial pressure of both gases inside the chamber, is crucial for the
material properties.
Design of the new Hot-Wire CVD facility
One of the main tasks involved in this thesis work was the development of a new
Hot-Wire CVD system. Our first microcrystalline silicon samples were obtained by
using a very simple reactor described in an earlier work, with a vertical configuration as
described in figure 2.1, sharing the pumping system and the gas lines of an existing
PECVD installation. Such a reactor presented limitations, not only related to the fact
that it was a high vacuum installation, but also due to the poor performance of the
pumping system. Nevertheless, the layers deposited in it were the first HWCVD µc-
Si:H samples obtained in a European laboratory by this method, and some conclusions
were extracted from this installation, evidencing the unique combination of low
temperature processing and fast deposition rates achievable by the HWCVD technique.
Furthermore, some useful results about intrinsic material were obtained from this
reactor, and the first p-i-n devices deposited onto TCO-coated glass substrates were also
fabricated.
29

One of the first characteristics of the new reactor to be determined was the choice
between High Vacuum (HV) or Ultra-High Vacuum (UHV) technology. Since the
contamination in the material is known to be a major issue for device applications, the
UHV choice was important to reach the best purity conditions. More recent works
evidenced a decrease in the defect density related to the impurity concentrations in the
material deposited in the UHV system is compared with that obtained in a HV system.
A gas purifier was also installed in the gas lines in order to maintain the contaminants
coming from the gas lines and bottles (mainly O and C) in the range of ppb.

Since one of our goals was to fabricate solar cells without breaking the vacuum
between the fabrication steps, the general configuration of the reactor had to be intended
for the production of such devices, and that premise determined the design and
construction of a multichamber reactor, as doping cross-contamination is known to be a
major problem in the fabrication of thin-film electronic devices. Therefore, the basic
configuration of the new set-up started from the beginning as an inline multichamber
reactor with a first chamber for the deposition of the intrinsic material with the best
purity conditions, a second chamber for processes with doping gases, a load-lock
chamber, isolated between them by UHV gate valves, and a transfer system. With this
configuration, different device structures can be fabricated without exposing the device
interfaces to the atmosphere, for example glass/TCO/p-i-n/metal or metal/n-i-p/TCO for
an inverted structure, giving to the deposition system a wide range of possibilities.
The design of the arrangement inside the deposition chamber was influenced by the
experience obtained at that time. One of the most important observations in the former
system was the formation of powder during the deposition process under certain
conditions, and such result led us to an inverted arrangement of the substrate holder. In
this geometry the substrates are oriented downwards, with the filament and the gas inlet
below to avoid the formation of pinholes due to this phenomenon. A shutter was added
just below the substrate holder to control the process. All the mechanisms were
designed in the simplest way in order to achieve the best reliability of the installation,
and with these criteria the valves and shutters, transfer system or the filament power
supply were designed to be manually controlled.

Another important feature for the new reactor had to be that the main technological
parameters could be easily and independently controlled. This premise had to allow a
systematic research of the different deposition regimes to study the technique and the
main processes involved. This idea led to an initial configuration with the substrate
holder located at 4-6 cm over the hot wire, in order to reduce the heating of the
substrates from the filament and thus to control the substrate temperature mainly by
30

means of a heater, independently of the filament temperature. A pyrolitic graphite


resistive heater of 10 cm diameter was chosen, in order to achieve a good temperature
uniformity over relatively large areas. Another characteristic of the system is the use of
all-metal UHV butterfly valves to isolate the pumping system from the reactor. This
kind of throttle valve has a relatively low cost and permits at the same time to change
the pumping section of the turbo pump. This feature allows to control the process
pressure in a wide range, independently of the gas flows.

2.1.1 Geometry and internal arrangement.


The resulting system consists of three inline cylindrical stainless steel chambers of
25 cm diameter and a volume of 41 l, and were fabricated in the Vacuum Unit of the
Scientific-Technical Services of the University of Barcelona. Both HWCVD deposition
chambers are equipped with the electrical feedthroughs required for the filament and the
heater, a double thermocouple feedthrough for the temperature measurements and the
pressure gauges. A capacitive manometer on the top of the chamber for the
measurement of the absolute gas pressure during the deposition process (range 10 -3 to 1
mbar), and a cold-cathode ionization gauge, in front of the turbopump, for measuring
the base pressure (10-9 to 10-4 mbar). A glass viewport with a shutter was added to the
reactor in order to measure the filament temperature by optical pyrometry. Figure 2.2
shows schematically the geometry of the reactor.
31

An RF-Magnetron Sputtering unit of 2” diameter, equipped with a ZnO:Al target,


was installed in the load-lock chamber, in order to deposit TCO layers. Two gas lines
(Ar and O2) equipped with MFC, were connected to the load-lock gas inlet. The
pressure inside the chamber is measured by either a capacitance manometer or a
compact Pirani/Cold Cathode gauge, and a second Pirani gauge is used to control the
pressure at the turbo outlet. A 24V/100W halogen lamp is used as substrate heater, and
the substrate temperature is directly measured with a thermocouple in contact with the
substrate. A 300W (13.56 MHz) RF power supply and an automatic matching network
are used in this system to operate the 2” magnetron sputtering head.
The pumping system.

The HWCVD reactor is equipped with a pumping system designed taking into
account the experience with silane glow discharges in the PECVD facility. In both CVD
methods, the silane mixture is introduced into the chamber and then dissociated to form
the radicals responsible for the deposition of the films. Therefore, the pumping system
had to permit at the same time low base pressures and good performance when the gases
are pumped during the process. Our resulting system is slightly different than the usual
configuration used by other groups, in which a separate turbopump and rotary vane
pump system is used to reach the base pressure, and the Roots blower is used to pump
down the gas mixture during the deposition process. In our facility, the process is
carried out by using all the pumps (Turbopump, Roots and Rotary vane pump), allowing
us to perform depositions at lower pressures than with the usual arrangement.
A turbomolecular pump for corrosive applications, with a nominal pumping speed of
360 l/s and ultimate pressure of <10-10 mbar is installed in each process chamber. These
pumps are equipped with ceramic bearings lubricated by grease, nitrogen purge system,
and water cooling. The primary pump is a rotary vane double-stage pump with a
pumping speed of 144 l/s and an ultimate pressure <10 -3 mbar. The version using
perfluorized polyether (PFPE) oil was preferred to avoid chemical interaction with the
process gases. It is also equipped with the accessories necessary for the pumping of
special (pyrophoric) gases, such as an inert Gas Ballast/Outlet Purge system, a chemical
filter in the inlet and a particle filter with oil recovery in the outlet port. The mechanical
pump is shared by the two turbopumps corresponding to the HWCVD deposition
chambers. A pneumatically operated normally-off valve is used to separate the
turbopumps from the rotary pump, in order to protect the system against power
shutdowns. A conventional rotary vane pump is used as a primary pump for the
32

turbopump corresponding to the load-lock chamber, as no special gases have to be


evacuated with this system. After the first months of operation, a Roots blower was
installed between the Turbopump and the Rotary vane pump, in order to increase the
performance of the system during the process (the maximum process gas flows) and to
reduce eventual contamination due to backdiffusion of contaminants. The structure of
the pumping system and the complete multichamber reactor are shown in figure 2.3.
The gas lines and valve panels

The new gas line installation shares the gas cabinet located outside the reactor room
with the PECVD facility, and the gas lines were built by using 1/4” stainless steel pipes
and Swagelok-type fittings. Five lines were intended for the different gases to be used:
SiH4, H2, B2H6, PH3, and N2, and two more were added for a future use. Ultrapure
quality gases are always used, corresponding to a purity of 4.7 (99.997%) for silane and
6.0 (99.9999%) for hydrogen. The B2H6 bottle was diluted at 1% in 6.0 hydrogen, and
PH3 was diluted 5% in ultrapure silane (purity 4.7).

Two separate panels were designed to install the valves and accessories. The first one
is for the valves connecting the lines coming from the gas cabinet outside the reactor
room with the second panel, in which the gas flow controls are installed. In this second
panel, two types of gas flow regulators are used: Mass Flow Controllers (MFC) for the
gases with relatively high flows to be supplied (SiH4 and H2), and needle-type manual
regulation valves in order to adjust the flows required for the doping gases (B 2H6 and
PH3). In this work, the hydrogen dilution has been calculated from the gas flows
measured by the MFCs by using the formula:
F
H2
%H F + F ⋅100
H Si
2 H4
However, the gases of the mixture are differently pumped and the hydrogen ratio in
the growth atmosphere was found to be lower than the corresponding hydrogen dilution
obtained from the gas flows.
Before the gas flow regulation stage, particle filters of 0.3  m are used to protect
the MFC and needle valves. The gas inlet to the reactor is controlled by means of
pneumatically operated normally-off valves for high-purity applications, which are
operated with electrovalves from a switch panel in the rack. All the lines are connected
to a common line to bypass the second panel, in order to pump down the pipes after
every process. Additionally, a zeolite gas purifier was installed after the MFC
corresponding to the H2 and SiH4 to maintain the contaminants (mainly O and C) under
ppb levels. The structure of the gas line installation, with both valve panels, is
33

depicted in figure 2.4.

The control rack


An independent rack has been used to install the controls of the system. In this
rack are installed the following apparatus: the frequency converters to operate the
turbomolecular pumps, the gas flow displays, temperature controllers, electronic
cards and displays for the pressure gauges, switch panel to operate the valves,
electrical AC power modules to supply intensity to each filament, and also a PC for
the monitoring of the technological parameters during the process.
The temperature controllers are conventional on/off type using a proportional-
integral-derivative (PID) control of 220V/50 Hz power pulse lengths in a heating
cycle. A led-display provides simultaneous readouts of the temperature setpoint and
the measurement obtained from a K-type thermocouple. The power pulses are
supplied to the heater inside the reactor, and a proper tuning of the PID parameters
provides a stable temperature read. A programmable ramp function of 20ºC/min is
used to avoid thermal shock of the substrates during the heating process.
34

The pressure measurements from various gauges installed in the system are obtained in a
combination vacuum gauge system, which allows the installation of five electronic cards
to achieve simultaneous pressure readouts in a LCD display. The configuration used in the
HWCVD reactor consists of a Cold-Cathode (HV/UHV) controller, two Pirani and two
capacitive connection cards.
A switch panel with a block scheme was also constructed in the laboratory workshop in
order to operate the pneumatic valves in the system. The valves located after each MFC and
needle-type regulation valves in each gas line, as well as the one connecting the turbopumps
to the Roots pump and the rotary vane pump purge switch, are operated from this panel, in
which a led indicator gives information about the status of every switch. The valves labelled
Cn (see figure 2.4) are pneumatically operated by the corresponding electrovalves, supplying
compressed-air pressure for its operation.
The electrical supply modules are basically the same than the used in our former HWCVD
reactor. It consists of a manual Variac-type transformer, which is used to control the AC
voltage supplied to a transformer equipped with 4 low-voltage outputs (12 V/33 A) which are
directly connected to the filament. A shunt resistance is connected in the secondary circuit, in
order to measure the intensity through the filament (If). The voltage drop is also measured,
allowing the monitoring of the dissipated power and the resistance of the filament during the
process.
IMPORTANT REACTION ZONES IN CVD
In CVD, gaseous reactants are admitted into a reactor .Near or on a heated substrate surface,
a chemical reaction of the following typeoccurs:
Gaseous reactants Solid material + Gaseous products. Because of the gas flows as well as
the temperature used in CVD, five important reaction zones are developed during the CVD
process. The properties of CVD materials are affected by the interacting processes occurring
in these reaction zones. In a CVD process, a main gas flow (the reaction gas mixture) passes
over the substrate/coating surface. For fluid dynamical reasons, a more or less stagnant
boundary layer occurs in the vapor adjacent to the substrate/coating. During the deposition
process, the gaseous reactants and the gaseous reaction products are transported across
this boundary layer. In reaction zone 1 (see Fig. 8) as well as in the main gas stream,
homogeneous reactions in the vapor may occur. These reactions may lead to an undesirable
homogeneous nucleation resulting in a flaky and non-adherent coating. In some cases
however, these reactions, when not accompanied by homogeneous nucleation, are favorable
to the CVD process. The heterogeneous reactions occur in the phase boundary vapor/coating
(zone 2).These reactions determine, in many systems, the deposition rate and the
properties of the coating. Relatively high temperatures may be used during CVD. This means
that various solid state reactions (phase transformations, precipitation, recrystallization,
grain growth, for example) may occur during the process (the zones 3 - 5). In zone 4, which
is a diffusion zone, various intermediate phases may be formed. The reactions in this zone are
important for the adhesion of the coating to the substrate.
35

The important zones in cvd fig8

The Reactors
The process selected and the size, shape, and number of substratesdefine the type of reactor
and its geometry. Two main reactor types can be distinguished:
1. The hot wall reactor- , the reactor tube is surrounded by a tube furnace. This means
that the substrates and the wall of the reactor have the same temperature. In addition to the
film growth occurring on the substrates, film growth might thus take place on the inside of
the reactor walls. With thicker films on the reactor walls, there is a risk that particles will
break loose from reactor walls, fall down on the surface of the growing film, and introduce
pinholes in it. There might also be a source of contamination in this reactor type because of
the reaction between the material of the reactor wall and the vapor. In the hot wall reactor,
homogeneous reactions, affecting the deposition reactions and hence the structure of the
films, may take place in the vapor. There is a successive depletion with respect to the
reactants as they are transported through the reactor. Such a depletion may yield different
deposition conditions within the reactor. Finally, in a hot wall reactor,
36

many substrates can be deposited simultaneously.

Hot wall reactor

Advantages:
•Simple to operate
•May accommodate several
substrates
•Uniform substrate temperature
•May be operated at a range of
temperatures and pressures
•Different orientations of substrate
Disadvantages:
•Deposition occur not only at the
substrate, but also on e.g. reactor walls
•The consumption of precursor is large
and difficult to control. May result in
feed-rate-limited deposition
•Gas-phase reactions may occur
2.The cold wall reactor - the walls of the reactor are cold and usually no Furthermore, a
low wall-temperature reduces the risk of contaminating vapor/wall reactions. In the cold wall
reactor, the homogenous reactions in the vapor are suppressed and the importance of the
surface reactions is increased. The steep temperature gradients near the substrate surface may
introduce severe

natural convection resulting in a non-uniform film thickness and microstructure. However,


with the higher flexibility of the cold wall reactor, high cleanliness, high deposition rates
(yielding high wafer throughput), high cooling rates combined with the needs of thickness
uniformity, automatic wafer
handling and use of increasing wafer diameter, there is tendency to more frequently use cold
wall reactors in the microelectronics.
37

Fig .Cold wall reactor

Various techniques of heating the substrates exist.[26] Conductive substrates can be heated
resistively or by radio frequency induction. Nonconductive substrates are normally heated by
applying optical techniques (tungsten filament lamps, lasers), thermal radiation techniques, or
by using susceptors and radio frequency induction heating. Examples of some reactors are
shown in . Finally for coating a large number of small pieces, fluidized bed techniques can be
applied. To illustrate how the choice of reactor is dependent on the substrate to be coated, an
example of applying a coating inside a tube is given. In this case the tube itself is the reactor.
The reactants are introduced in the tube and
transported to the heated zone where the deposition occurs. Induction heating as well as
tubular furnace heating can be employed. By moving the tube or the heating sources
continuously, a coating of uniform thickness can be produced .
Advantages:
•Pressure and temperature can be controlled
•Plasmas can be used
•No deposition on reactor walls
•Gas-phase reactions are suppressed
•Higher deposition rates may be reached (higher precursor efficiency
Disadvantages:
•Steep temperature gradients near the substrate surface may lead to
convection
•Less flexibility on substrate orientation and usually only one substrate at a
time
Substrate Cleaning Procedures
A clean substrate surface free from oxides and other contaminants is a prerequisite condition
for good adhesion. The cleaning procedure depends on the substrate used, the material to be
deposited, the CVD equipment available, etc. Examples of some cleaning techniques are
given below. Before the substrates are placed in the reactor, pickling, grit blasting, etching,
degreasing, etc., are carried out. In the CVD reactor surfaces containing hydrogen-reducible
oxides, e.g., tungsten oxides, are heated in a hydrogen gas flow at temperatures above the
deposition temperature. Metals forming volatile oxides are cleaned by heating in an inert
atmosphere. Finally, the heating operations in the cleaning step remove dust particles from
the surface, in some cases by the formation of carbides in the surface. After the cleaning
procedure the reactor is purged with an inert gas/hydrogen before the deposition process
(interlayers or final coating).
38

The Gas Dispensing System


Reactants, which are gases at room temperature, are stored in gas bottles. After pressure
regulation, their flows are measured with, for instance, mass flow meters. Use of mass flow
meters yields high accuracy and allows
microprocessor control of gas flows. Those reactants that are liquids or solids at room
temperature have to be fed to the system in other ways (see Fig. 7.6). They can be admitted to
the system by simply heating them above the boiling or sublimation point. The evaporation
rate can be varied by varying the source temperature and/or thedimensions of the capillary
from the sources. Another way of introducing thesesubstances is to use an evaporator or
sublimator and a carrier gas. When the
evaporator is used, the carrier gas is bubbled through the liquid to be evaporated or flowed
above its surface. The carrier gas picks up the liquid substance and transports it into the
reactor. The evaporation rate depends on the temperature of the liquid, the liquid level in the
container, and the flow rate of the carrier gas. For the highest reproducibility it is important to
have a constant level of the liquid in the container. However, some alternatives to these
evaporators exist which use carrier gases and are independent of the liquid level. In one
alternative, the liquid is evaporated from a vessel, cooled and condensed in a cooler, leaving
the carrier gas saturated at the temperature of the cooler. If two or more reactant liquids have
to be used in the process, it is seldom possible to vaporize them in the same evaporator while
maintaining the predetermined molar ratio since they normally have different vapor
pressures. The principle of the sublimator is similar to that of the evaporator. In a
sublimator the substance is transferred to the vapor by sublimation (solid gas) and then
transported to the reactor by the carrier gas.
Non-gaseous reactants at room temperature can also be admitted into the reactor by
generating them in situ in the gas dispensing system. If, for instance, the halide AlCl3 is to be
used in a process, the generator is filled with aluminium sponge. Aluminium chlorides are
then obtained by leading hydrogen chloride through the generator. Generator variables are
temperature, flow rate, and concentration of the hydrogen chloride (varied by dilution with an
inert gas).
CVD processes frequently proceed by complicated chemical reaction schemes. However, use
of overall CVD reactions enables a classification to be made.
Thermal decomposition reactions or pyrolytic reactions mean, in this case, that a gaseous
compound AX is thermally dissociated into A (a solid material ) and X (a gaseous reaction
product).
AX(g) → A(s) + X(g)
Use of thermal decomposition reactions normally results in relatively pure coatings.
Examples of some thermal decomposition reactions are given below:
SiH4(g) → Si(s) + 2 H2(g)
B2H6(g) → B(s) + 3 H2(g)
Ni(CO)4(g) → Ni(s) + 4 CO(g)
Si(CH3)Cl3(g) → SiC(s) + 3 HCl(g)
Processes like carburizing and nitriding may also be classified in this category of reaction. In
carburizing, for instance, a carbon-carrying vapour species, e.g., methane, is allowed to react
at/on a heated surface. Methane then decomposes in principle according to
CH4(g) → C(s) + 2 H2(g
)
39

Classification of CVD Reactions

).
2 AX(g) + H2(g) → 2 A(s) + 2 HX(g)
Straightforward reduction reactions have been almost exclusively used in the CVD of
elements.
WF6(g) + 3 H2(g) →W(s) + 6 HF(g)

2 BCl3(g) + 3 H2(g) → 2 B(s) + 6 HCl(g)

SiCl4(g) + 2 H2(g) → Si(s) + 4 HCl(g)

Exchange reactions mean that an element E replaces another element, for instance X, in the
molecule AX according to
AX(g) + E(g) → AE(s) + X(g)

Examples of exchange reactions are:


Zn(g) + H2S(g) → ZnS(s) + H2(g)
SiCl4(g) + CH4(g) → SiC(s) + 4 HCl(g)
40

SnCl4(g) + O2(g) → SnO2(g) + 2 Cl2(g)

Disproportionation reactions are rarely used in CVD. Disproportionation means a reaction


where the oxidation number of an element both increases and decreases through the
formation of two new species. CVD of A from AX can be obtained in disproportionations
like
2 AX(g) →A(s) + AX2(g)
3 AX(g) → 2 A(s) + AX3(g)
4 AX(g) →3 A(s) + AX4(g)
Examples of disproportionation reactions are
2 GeI2(g) → Ge(s) + GeI4(g)
2 TiCl2(g) → Ti(s) + TiCl4(g)
2 SiI2(g) → Si(s) + SiI4(g)
Coupled reactions are often used in CVD. For instance, CVD of Al2O3 from AlCl3, CO2 and
H2 can be described in an overall reaction
2 AlCl3(g) + 3 CO2(g) + 3 H2(g) → Al2O3(s) + 3 CO(g) + 6 HCl(g)

where the reaction in which water is formed


CO2(g) + H2(g) → CO(g) + H2O(g)
is coupled to the hydrolysis reaction
AlCl3(g) + 3 H2O(g) → Al2O3(s) + 6 HCl(g)
i.e., a reduction reaction is coupled to an exchange reaction (for example, see Ref. 7.1). Other
examples of overall coupled CVD reactions are
TiCl4(g) + NH3(g) + ½ H2(g) → TiN(s) + 4 HCl(g)
Ga(CH3)3(g) + xPH3(g) + (l-x)AsH3(g) → GaAs1-xPx(s) + 3 CH4(g)
In general, several possibilities of preparing a substance by CVD exist. For practical reasons
however, relatively few alternatives will remain after a critical evaluation of the requirements
of the process (temperature, total pressure, compatibility with the substrate and the reactor,
the reactions gas mixture, costs, toxicity of the substances, etc)

CVD Thermodynamics
Thermodynamic calculations are a useful tool when choosing the experimental conditions
(temperature, total pressure, reaction gas composition) for the deposition of a certain
substance, and also serve as a guide when changing the experimental conditions in a CVD
process. For the calculations, different computer programs are in use and there are now
practically no limitations in the number of substances that can be included in the calculations.

Usually the computer programs are based on the so-called free energy minimization
technique. The free energy G is given by the following equation:

iwhere ni is the number of moles of a substance i and μi is the chemical potential of the
substance. The chemical potential is defined as

where μi is the reference chemical potential, and ai is the activity. Assuming ideal gas
conditions, the activity of the gaseous species may be expressed as its partial pressure
ai = pi = (ni /n)P
41

where n is the number of moles in the gas phase, and P the total pressure. For pure condensed
substances, the activities are equal to unity. Eriksson developed a computer program
(SOLGAS) based on the minimization of the free energy. This program became a prototype
for many other equilibrium calculation programs. From the basic equations given above,
dimensionless quantity G/RT was defined (see eq. below) and used in the calculations.

The superscripts g and s refer to the gas phase and the solid phase, respectively. The value of
μ0/RT for a specific substance is calculated from

0 = heat of formation at 298.15 K. By minimizing the quantity G/RT (or G) and using mass
balance equations as subsidiary conditions, the equilibrium composition of a system can be
calculated. The input data in the calculations are the number of moles of the different
reactants, the total pressure, the substrate temperature, the different substances, and their
thermochemical data. From the calculations, various quantities like the partial pressures of
the vapour species, the amounts of the different substances available for CVD, i.e., the yield,
thermodynamic functions (supersaturation, reaction enthalpies, driving force of different
processes, etc.), are obtained.

Many parameters influences the growth and coating properties


For industrial use, a growth rate of 0.1μm/min is necessary (for electronics) or higher (e.g. for
glass coating)
Three regimes:
•Surface reaction (kinetically) limited.
•Diffusion (or mass transport) limited.
•Increased desorption rate of precursors.
Feed-rate limited growth: if both mass transport and diffusion is fast compared to feed.
Often with low vapour pressure precursors.
42

Selective deposition
Especially important in microelectronics; patterning of the surface and 3D-growth
•Reaction rate of precursor is limited on a non-growth surface. E.g. deposition of Cu from
(hfac)Cu(PMe3) occur on Cu, Pt… but not on SiO2.
•Growth surface acts as co-reactant, and is selectively consumed. E.g. Si reacts with WF6 or
MoF6, while reaction at SiO2 or Si3N4 is slower.
•A chemical reaction of a gaseous co-reactant occur on the growth surface. E.g. H2
dissociation on a metal surface, but not on SiO2 or metal oxide surfaces.
•The rate is increased on part of the surface by irradiation.
•Selective passivation by removal of reactive surface species. (E.g. -OH exch. -OSiMe3)
•Hindering nucleation (e.g. due to high surface energy)

Adhesion
Production of well-adhering coatings with desired properties is the ultimate aim of all CVD
work. There are, however, several factors which reduce the adhesion between the coating and
the substrate. Stresses introduced as deposition stresses or originating from a mismatch in the
thermal expansion coefficients between the substrate and the coating when cooling down
after the deposition process. These stresses can be reduced by depositing a substance prior to
the final CVD process. The predeposited substance forms an intermediate layer. The stresses
can also be reduced by decreasing the thickness of the coating as well as by changing the
grain size and morphology of the coating. Homogeneous nucleation in the vapor produces a
flaky/powdery deposit. By reducing the degree of supersaturation or the driving force of the
process, the homogeneous nucleation can be eliminated. Intermetallic compounds formed in
the coating/substrate interface may be brittle, leading to the initiation of cracks there. The risk
of crack initiation increases with increasing thickness of the layer containing the intermetallic
compounds. The technique of predeposition of a substance—forming an intermediate layer
later—may be usable to improve the adhesion in this case. Hydriding of the substrate may
cause bad adhesion. Hydrogen is frequently used in the cleaning procedure prior to the
deposition stage. Some metals/alloys can dissolve a considerable amount of hydrogen. If the
deposition process is then run at a temperature where the hydrogen is liberated, cracking of
the coating occurs. Hydriding can be eliminated by using another cleaning procedure or
heating the substrate in vacuum or an inert gas after cleaning in hydrogen. Pores in the
coating/substrate interface reduce the adhesion not only because of the fewer bonds in the
interface but also because the pores act as beginning of the process as well as from Kirkendal
diffusion (differences in the diffusion fluxes of the atoms over the coating/substrate
interface). Oxide films or other surface contaminants reduce the adhesion as a rule. A proper
cleaning procedure usually can solve this problem. Chemical attack on the substrate by the
reaction products formed during the CVD process may cause bad adhesion. The chemical
attack on the substrate can occur as long as the substrate is exposed to the vapor and is
described in the following reactions:
2 AX(g) + H2(g) → 2 A(s) + 2 HX(g)
The volatile reaction product HX formed reacts with the substrate S according
to the reaction
2 S(s) + 2 HX(g) → 2 SX(s) + H2(g)
The solid substance SX formed may result in poor adhesion. The reaction
above can be predicted from thermodyamics.
43

3.4 Substrate

Substrate Cleaning Procedures


A clean substrate surface free from oxides and other contaminants is a prerequisite condition
for good adhesion. The cleaning procedure depends on the substrate used, the material to be
deposited, the CVD equipment available, etc. Examples of some cleaning techniques are
given below. Before the substrates are placed in the reactor, pickling, grit blasting, etching,
degreasing, etc., are carried out. In the CVD reactor surfaces containing hydrogen-reducible
oxides, e.g., tungsten oxides are heated in a hydrogen gas flow at temperatures above the
deposition temperature. Metals forming volatile oxides are cleaned by heating in an inert
atmosphere. Finally, the heating operations in the cleaning step remove dust particles from
the surface, in some cases by the formation of carbides in the surface. After the cleaning
procedure the reactor is purged with an inert gas/hydrogen before the deposition process
(interlayers or final coating).
Analysis of the Vapour in a CVD Reactor
Various spectroscopic techniques have been used to analyse the vapour in a CVD reactor.
The purpose of these analyses is to achieve a better understanding of the processes.
Spectroscopic techniques are also used for process control. Mass-, Raman, and IR-
spectroscopy are in use.
4.0 GAS FLOW DYNAMICS
The rate and arrangements of the gas flows in a CVD reactor influence the deposition
conditions considerably. In the following, some fundamentals of gas flow dynamics are
given. For further details the reader is recommended textbooks in chemical engineering or
other books treating transport processes. In a gas, different states exist. In the molecular state,
the mean free path of the molecules is much longer than the dimensions of the vessel. In
the viscous state, the mean free path is much shorter than the vessel dimensions. The viscous
state can be divided into two flow regimes. The laminar flow regime, where the flowing gas
layers are parallel, is appropriate to low gas velocities. At higher velocities, the flow becomes
turbulent. The limit between the laminar and the turbulent flow is defined by the value of
Reynold’s number, Re:
Re = ρ V D / η

Where : ρ = the density of the gas


V = the velocity
η = the viscosity
D = the diameter of the tube
At Re < 1100 the flow is laminar, while at Re > 2100 the flow is turbulent. The range 1100 to
2100 is a mixed flow regime. The Reynold’s number given characterizes the flow in an
isothermal environment. In the non-isothermal environment existing in a cold wall reactor,
Natural . convection induces a turbulence even at low flow rates. Consider the situation above
a heated surface (Fig. 7.10). At small temperature gradients dT/dx, the varying density of the
gas along the coordinate X is compensated by the gravitational field and no movement of the
gas occurs. At larger gradients, the gas starts to move and the laminar flow can no longer be
retained. From Fig. 7.10 it can be understood that turbulence at a heated substrate surface
may be obtained at different parts of it. For instance, when the temperature gradient is
perpendicular to the gravitational field turbulence occurs at smaller temperature gradients
than in the antiparallel case. Different dimensionless quantities are used for identifying
conditions of laminar and turbulent flows at different geometries. For instance, the Rayleigh
number, Ra, and the Grashof number, Gr, are employed.[31] Ra and Gr are related to each
other. Gr multiplied by the Prandtl number (nearly equal to one
44

for gases) yields Ra

.To summarize the flow situation, diagrams depicting flow stability regions like that in Fig.
7.11 are constructed for different geometries and reaction gas mixtures. In an isothermal
environment, Gr is equal to zero and Re describes the situation completely. In a non-
isothermal environment Gr is larger than zero (increases with increasing DT). Turbulence
occurs at a certain Gr value, depending on the flow rate of the specific gas mixture and the
temperature difference between the hot and cold part in the reactor.
45
46
47
48
49
50
51

Potrebbero piacerti anche