Sei sulla pagina 1di 11

UNIVERSIDAD TÉCNICA DE AMBATO

FACULTAD DE INGENIERÍA EN SISTEMAS, ELECTRÓNICA E


INDUSTRIAL

CARRERA DE INGENIERÍA EN ELECTRÓNICA Y


COMUNICACIONES

VLSI

Octavo “A”

Tipo de Aporte: Consulta N°2

Tema: Estructura VHDL

INTEGRANTES:
Fausto David Tello Matehus
Jennifer Pamela Morales Moposita
Alexis Geovanny Peñaloza Leguisamo

Fecha de Envío: miércoles, 05 de diciembre del 2019

Fecha de Entrega: viernes, 06 de diciembre del 2019

Docente: Ing. Edgar Patricio Córdova Córdova

AGOSTO 2019 - ENERO 2020

AMBATO – ECUADOR
1. TEMA
VHDL

2. OBJETIVOS:

a. OBJETIVO GENERAL
Establecer una correcta definición junto con sus estructuras en VHDL, analizando
sus potencialidades y modelos de diseño estructural y comportamental.

b. OBJETIVOS ESPECÍFICOS

 Establecer definiciones puntuales acerca de VHDL, así como también estructura,


entidad y arquitectura.
 Conocer acerca del modelado en lenguaje VHDL, enfocándonos a los modelos
comportamental y estructural, así como su aplicación.

Definición de VHDL

 Según Freddy Marques

VHDL es un lenguaje de programación que se ha diseñado y se ha perfeccionado para


describir el comportamiento de sistemas digitales. VHDL tiene muchas características
apropiadas para describir el comportamiento de componentes electrónicos que van desde
simples compuertas lógicas hasta microprocesadores completos y chips a medida. [1]

 Según Pablo Cáceres

VHDL es el acrónimo que representa la combinación de VHSIC y HDL, donde VHSIC


es el acrónimo de Very High Speed Integrated Circuit y HDL es a su vez el acrónimo de
Hardware Description Language.
Es un lenguaje definido por el IEEE (Institute of Electrical and Electronics Engineers)
(ANSI/IEEE 1076-1993) usado por ingenieros para describir circuitos digitales. [1]

 Según Dora Ballesteros

La finalidad del lenguaje VHDL para la descripción de hardware, es especificar y


documentar circuitos y sistemas digitales con un lenguaje formal que sea entendido tanto
por diseñadores como por máquinas –computadoras, lo que permite que la descripción
del hardware se materialice en dispositivos programables; posibilita la descripción del
hardware con distintos niveles de abstracción y es independiente de la tecnología. [2]

 Definición Grupal

VHDL es un lenguaje dedicado al diseño y modelado de circuitos complejos, con una


sintaxis amplia y flexible que permite el modelado estructural, VHDL permite el
modelado preciso, del comportamiento de un sistema digital conocido y el desarrollo de
modelos de simulación.

Estructuras VHDL
Una estructura en VHDL corresponde a englobar las librerías, entidades y arquitectura
del programa:

 Entidad
Una entidad es la abstracción de un circuito, ya sea desde un complejo sistema
electrónico o una simple puerta lógica. La entidad únicamente describe la forma
externa del circuito, en ella se enumeran las entradas y las salidas del diseño. Una
entidad es análoga a un símbolo esquemático en los diagramas electrónicos, el
cual describe las conexiones del dispositivo hacia el resto del diseño. [3]

Una entidad debe cumplir con las siguientes características:


- Define externamente al circuito o subcircuito.
- Nombre y número de puertos, tipos de datos de entrada y salida.
- Tienes toda la información necesaria para conectar tu circuito a otros
circuitos.

Figura 1: Entidad en VHDL

Los puertos pueden ser:


 entrada in
 salida out
 entrada-salida in-out
 buffer
Los puertos de entrada sólo se pueden leer y no se puede modificar su valor
internamente en la descripción del comportamiento del circuito (architecture),
sobre los puertos de salida sólo se puede escribir, pero nunca tomar decisiones
dependiendo de su valor (esto implica una lectura). Si es estrictamente necesario
escribir sobre un puerto a la vez que se tiene que tener en cuenta su valor el tipo
sería in-out o buffer. [3]

Figura 2: Entidad definida en VHDL

 Arquitectura

Los pares de entidades y arquitecturas se utilizan para representar la descripción


completa de un diseño. Una arquitectura describe el funcionamiento de la entidad
a la que hace referencia, es decir, dentro de “architecture” tendremos que describir
el funcionamiento de la entidad a la que está asociada utilizando las sentencias y
expresiones propias de VHDL.

La arquitectura debe cumplir con las siguientes características:


- Define internamente el circuito.
- Señales internas, funciones, procedimientos, constantes …
- La descripción de la arquitectura puede ser estructural o por comportamiento.
Figura 3: Arquitectura enVHDL

El código VHDL propiamente dicho se escribe dentro de “architecture”. Cada


“architecture” va asociada a una entidad y se indica en la primera sentencia. A
continuación, y antes de “begin” se definen todas las variables (señales) internas
que vas a necesitar para describir el comportamiento de nuestro circuito, se
definen los tipos particulares que necesitamos utilizar y los componentes, otros
circuitos ya definidos y compilados de los cuales conocemos su interfaz en VHDL
(su entidad). [3]

Modelos de descripción

Existen tres formas diferentes de describir el funcionamiento de una entidad a través de


la arquitectura:
 Comportamiento o algorítmico (behavioral). Especifica cómo se comportan las
salidas con respecto a las entradas. No proporciona al sintetizador información de
cómo será el circuito, siendo éste el que lo determina. La parte fundamental de
este tipo de descripciones es el proceso (process).
 Flujo de datos (dataflow). Especifica el circuito como una representación
concurrente del movimiento de los datos a su través, es decir, cómo circula la
información.
 Estructural (structural). Describe la conexión entre distintos módulos descritos
previamente. [4]

 Comportamiento o algorítmico (behavioral).


Como hemos visto los modelos VHDL están formados por dos partes: la entidad (entity)
y la arquitectura (architecture); es en esta última donde se escriben las sentencias que
describen el comportamiento del circuito, a este modelo de programación en VHDL se
le suele denominar behavioral.

Figura modelo beharvioral


Dentro de la arquitectura se encuentra:
 Tipos y señales intermedias necesarios para la descripción del comportamiento.
 Sentencias de asignación que deben realizarse siempre, así como sentencias
concurrentes.
 Uno a varios process que tienen en su interior sentencias condicionales y/o
asignaciones a señales que dan lugar a hardware secuencial.
Las sentencias concurrentes son sentencias condicionales que tienen al menos un valor
por defecto para cuando no se cumplen ninguna de las condiciones. Aunque podría
utilizarse una sentencia común como un if con obligación de else, los desarrolladores de
VHDL han preferido utilizar dos sentencias particulares:

Figura sentencias concurrentes WHEN-ELSE

Una sentencia más general es:

Figura sentencias concurrentes WITH – SELECT – WHEN

Se modificará el valor de una señal dependiendo de los valores de una señal condición.
VHDL permite utilizar otro tipo de sentencias condicionales más parecidas a los
lenguajes de programación usados. Las sentencias condicionales más comunes en
VHDL son las siguientes:
 IF – THEN – ELSE: La sentencia if-else permite cualquier tipo de combinación
y encadenamiento.
Figura sentencias condicionales IF – THEN – ELSE

CASE – WHEN: Es necesario que aparezca en la estructura when others, pero no es


necesario que tenga asignaciones, se puede dejar en blanco.

Figura sentencias condicionales CASE – WHEN

Igual que en los lenguajes software, existen distintos tipos de bucles:


Sentencia process
VHDL presenta una estructura particular denominada process que define los límites de
un dominio que se ejecutará (simulará) si y sólo si alguna de las señales de su lista de
sensibilidad se ha modificado en el anterior paso de simulación. Un process tiene la
siguiente estructura:

Figura sentencias process

VHDL presenta una estructura particular denominada process que define los límites de
un dominio que se ejecutará (simulará) si y sólo si alguna de las señales de su lista de
sensibilidad se ha modificado en el anterior paso de simulación.

 DESCRIPCIÓN ESTRUCTURAL
Esta descripción utiliza para la creación de la arquitectura de la entidad entidades descritas
y compiladas previamente, de esta manera en VHDL podemos aprovechar diseños ya
realizados, o realizar diseños sabiendo que se utilizarán en otros más complicados. Así se
ahorra trabajo al diseñador-programador.
Se declaran los componentes que se van a utilizar y después, mediante los nombres de los
nodos, se realizan las conexiones entre los puertos. Las descripciones estructurales son
útiles cuando se trata de diseños jerárquicos botton-up.
Figura DESCRIPCIÓN ESTRUCTURAL

Se pueden utilizar tantos componentes como necesite el diseño, estos componentes son
entidades anteriormente declaradas o compiladas.
Podemos realizar un diseño estructural puro, mediante la unión de componentes que
describen las distintas funcionalidades del circuito, en el que necesitaremos definir las
señales intermedias entre las que se encontrará siempre las señales que interconectan las
salidas de los módulos con las salidas de la entity. [5]

3. DIAGRAMAS Y ESQUEMAS

 Estructura de VHDL
Estructura VHDL

Entidades Arquitectura
Librerías

4. CONCLUSIONES

 Circuitos descriptos utilizando VHDL, siguiendo unas guías para síntesis, pueden
ser utilizados por diversas herramientas de síntesis para crear e implementar
circuitos.

 Los módulos creados en VHDL pueden utilizarse en diferentes diseños, lo que


permite la reutilización del código. Además, la misma descripción puede utilizarse
para diferentes tecnologías sin tener que rediseñar todo el circuito.

 Se definió los 2 diferentes tipos de modelado existentes para VHDL, y se notó su


principal diferencia, en el modelado estructural importa los componentes y
conexiones del circuito y en el comportamental no importa los componentes sino
la funcionalidad propia del circuito.

5. BIBLIOGRAFÍA

[1 D. Maxinez, «Programación de SistemasDigitales con VHDL - EBOOK,» 2014. [En línea].


] Available:
https://ebookcentral.proquest.com/lib/utasp/reader.action?docID=3227541&query=vhdl.
[Último acceso: 06 12 2019].

[2 D. Ballesteros, «Diseño VHDL de sistema digitales - EBOOK,» 2006. [En línea]. Available:
] https://ebookcentral.proquest.com/lib/utasp/reader.action?docID=3166048&query=vhdl.
[Último acceso: DICIEMBRE 2019].
[3 S. Marcos, «Introcucción a la programación en VHDL,» Universidad Complutense de
] Madrid, 2015. [En línea]. Available: https://eprints.ucm.es/26200/1/intro_VHDL.pdf.
[Último acceso: 06 12 2019].

[4 U. d. Alicante, «https://www.academia.edu,» [En línea]. Available:


] https://www.academia.edu/968673/VHDL._Modelos_de_descripci%C3%B3n?auto=downl
oad.

[5 M. S. Heles, «Facultad de Informática, Universidad Complutense de Madrid,» [En línea].


] Available: https://eprints.ucm.es/26200/1/intro_VHDL.pdf.

[6 «Modelado de Circuitos VHDL,» Universidad de Valencia, 2014. [En línea]. Available:


] http://redeya.bytemaniacos.com/electronica/tutoriales/PDF/vhdl.pdf. [Último acceso:
DICIEMBRE 2019].

[7 A. Gomez, «Repositorio Universidad Alicante - HDL,» 2015. [En línea]. Available:


] https://rua.ua.es/dspace/bitstream/10045/3833/1/S2_1_VHDL_INTRODUCCION_HISTORI
A.pdf. [Último acceso: DICIEMBRE 2019].

[8 J. González, «Introducción al Lenguaje de Descripción Hardware VHDL,» 4 12 2010. [En


] línea]. [Último acceso: 06 12 2019].

[9 C. Ortiz, «ayudaelectronica.com,» 08 12 2010. [En línea]. Available:


] http://ayudaelectronica.com/que-es-vhdl/. [Último acceso: 06 12 2019].

Potrebbero piacerti anche