Sei sulla pagina 1di 17

1

PRACTICAS DE LABORATORIO
DISEÑO DIGITAL
CIRCUITOS SECUENCIALES
2
3
CIRCUITOS SECUENCIALES
Material:
Tarjeta de desarrollo para PLD
Software ISE v14.7
1.- Generador de pulsos de reloj

Tipo de práctica: SIMULADA


Estudiar el funcionamiento del temporizador /oscilador CI 555. Armar el circuito de la figura 2.2 y
simularlo en PROTEUS, Buscar el 555 en “ Devices => Analog ICs => 555”,
Buscar el diodo LED en “ Pick Devices => Optoelectronics => subcategory => LEDs”,
Buscar la batería en “Pick Devices => miscellaneus => Battery ”

Donde:
TH, Tiempo de duración en el nivel alto.
TL, tiempo de duración en el nivel bajo.
Formulas para calcular los tiempos
TH = 0.693(Ra + Rb) x C
TL = 0.693 Rb x C
Figura 2.2 Señal de salida de la terminal 3 (out), TH + TL = Tiempo total o Periodo.
Ra=10k, Rb=10k, C=22uf
Figura 2.3 Formulas para calcular los tirmpos TH y TL

b.- Usando las formulas dadas en la figura 2.3, calcular el valor de Ra y Rb para que el temporizador genere pulsos con
una duración de :
TH = num Equipo x 100ms y
TL= ½ de TH
considere para C un el valor de 22 microfaradios = 22 x 10-6 Faradios

Ra = ______________ Rb = ________________

c.- Arme el temporizador y usando el Osciloscopio de Proteus mida y dibuje la señal de salida.

Forma de onda
4

Mida los valore obtenidos de :


TH = __________
TL = _______
Periodo = _________

2.- FLIP FLOP


Tipo de práctica: SIMULADA PROTEUS
1.- Probar el funcionamiento de los siguientes FF, usando el simulador Proteus,
Determine que valor deben tener las entradas directas asíncronas Preset y Reset , para que funcionen las
entradas síncronas D, J, K, T

A..flop tipo D

FF_D
0
U1:A

4
2 5
D 1 ?

S
D Q
3
CLK
6
0
Q ?

R
Pulso

1
74LS74

74LS74

Simule el Flip Flop, compruebe su funcionamiento, y llene la tabla siguiente., para generar un pulso de reloj
utilice el switch monoestable “Logictoggle”,

Debugging Tools => Logictoggle

Estado Entrada Estado Siguiente


Presente D Q(t+1)
Q
0 0 0
0 1 1
1 0 0
1 1 1

A. Flip flop tipo JK


5

FF_JK
0
cf
U2:A

2
74LS75 0 4 15
?

S
J Q
1
0 CLK
16 14
Pulso 0 K Q ?

R
3
7476

Simule el Flip Flop, compruebe su funcionamiento, y llene la tabla siguiente., para generar un pulso de reloj
utilice el switch monoestable “Logictoggle”,

Debugging Tools => Logictoggle

Estad Entra Estado


o da Siguiente
Presente Q(t+1)
Q J K
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

B. Flip flop tipo T

FF_T
1
T U2:B
7

9 11
1 ?
S

J Q
6
CLK
12 10
K Q ?
R

0
8

7476

Pulso
0

Simule el Flip Flop , compruebe su funcionamiento, y llene la tabla siguiente.

Estado Entrada Estado


Presente Siguiente
Q T Q(t+1)
0 0
0 1
6
1 0
1 1

Que diferencia hay entre un FF con Reset asíncrono y otro con reset Síncrono? ________________________________

3.- CIRCUITO SECUENCIAL


Tipo de práctica: SIMULADA
A.- Simular y probar el siguiente circuito secuencial
Armar y simular el circuito usando PROTEUS

DA = Ax + Bx
DB = Ax + B´x
SALIDA
ENTRADA y = AB Y
X

Para generar un pulso de reloj utilice el switch monoestable “Logictoggle” ,

Debugging Tools => Logictoggle

B.- Simule el circuito y llene la TABLA DE ESTADOS del circuito.

Estado Entrada Estado Salida


Presente x Siguiente y
A B A B
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

C.-. A partir de la Tabla de estados obtener su diagrama de estados.


7

4.-Contador Ascendente/Descendente usando FF-D


Tipo de práctica: LABORATORIO, ISE
a.- Utilizando la metodología vista en clase diseñar un contador del 0 al 7 que tenga dos modos de
funcionamiento: ascendente y descendente
En modo ascendente se incrementa y genera un pulso de salida (Carry) cuando pasa del 0 al 7.
En modo descendente se decrementa y genera un pulso de salida (prestamo) cuando pasa del 7 al 0.
1.- Obtenga primero su Tabla de estados.
Estad Entrada Estado Entradas a Salidas
Presente (modo Siguiente los FF´s
)
ABC X ABC Da Db Dc Carry Prestamo
0000
0001

4.3.- Simplificar usando el método del mapa.


8

4.4 .- Hacer el circuito con FF- D y dar las funciones de diseño:

Fa = ______________________________________

Fb = ______________________________________

Fc = ______________________________________

Carry = ______________________________________
Prestamo = ___________________________________

Generar el circuito COMBINACIONAL con HDL probarlo y generar el símbolo

C- Usar un registro de 4 flipflop tipoD, el cual está ubicado en la biblioteca de ISE “FLIP FLOP”

Para armar el circuito en ISE:


1. Abrir un proyecto (TOP) en forma esquemática .sch
Agregar los módulos .vhd correspondiente a los archivos HDL del circuito combinacional
y del registro de 4 bits, generar los símbolos correspondientes.
2. Agregar al proyecto TOP los símbolos de los módulos .vhd
3. Conectar los diferentes módulos
4. Simular y probar en un PLD el diseño.
9

4.4 PULSOS DE RELOJ


Para las pruebas físicas utilice el reloj de la Tarjeta (25mhz, 50mhz,100Mhz)
Para bajar la frecuencia utilice uno o varios divisores de frecuencia de la biblioteca “Clock Divider”

Localice el oscilador (reloj) de la tarjeta, así como la terminal a la cual va conectada


10

Relacionar la etiqueta asignada al reloj con la salida del oscilador.

4.5 De los pulsos de reloj directamente usando un BOTON o SWITCH

Que pasa si se da manualmente el pulso de reloj utilizando un switch (o boton).

_____________________________________________________________________

_____________________________________________________________________

PARTE2

4.6 Conecte las salidas del contador anterior al decodificador 3x8 de la practica anterior o uno del
abiblioteca de ise , conecte las salidas del decodificador a un arreglo de LEDS

R 330 ohms

CONTADOR A 10 DECODIFICADOR 4 X 10
1 23 1 23
I0/CLK IO0 I0/CLK IO0
2 22 2 22
I1 IO1 I1 IO1
3 21 3 21
I2 IO2 I2 IO2
4 20 4 20
I3 IO3 I3 IO3
5 19 5 19
I4 IO4 I4 IO4
6 18 6 18
I5 IO5 I5 IO5
7 17 7 17
I6 IO6 I6 IO6
8 16 8 16
I7 IO7 I7 IO7
9 15 9 15
I8 IO8 I8 IO8
10 14 10 14
I9 IO9 I9 IO9
11 11
I10 I10
13 13
I11 I11
AM22V10 AM22V10

4.6 Que sucede en los LEDs cuando se va aumentando la velocidad del del reloj (oscilador).
11
______________________________________ __________________________________________
____________________________________

4.7 Pulso de reloj manual (eliminación de


Para dar manualmente los pulsos de reloj se pude utilizar un switch o un botón, recuerde que todo switch
genera rebotes y esto genera pulsos no deseados.

Para eliminar los rebotes, utilice el siguiente circuito donde los pulsos son proporcionados por un switch y la
señal de reloj por el oscilador 555.

Figura __ switch y generador de pulsos

*****************************************************************************
5.- Contador y decodificador de 7 segmentos
Tipo de Práctica : LABORATORIO
a). Describir las características principales de un circuito contador:
CARACTERISTICAS
DESCRIPCION

Asíncrono
Síncrono
Tipo de disparo
Tipo de Reset
12

Tipo de preset
Señal de carga
Contador binario
Contador decimal

b.- Armar un contador ascendente/descendente de 4 bits, usando la biblioteca de ISE,


Contador Display
Ascendente/Descendent e Decodificador Cat odo comun
U1 U2 R3
1 23 1 23
I0/CLK IO0 I0/CLK IO0
2 22 2 22
3
I1 IO1
21 3
I1 IO1
21
R1k
10
I2 IO2 I2 IO2
4 20 4 20
5
I3 IO3
19 5
I3 IO3
19
R2
10 k
I4 IO4 I4 IO4
6 18 6 18
I5 IO5 I5 IO5 R4
10 k
7 I6 IO6 17 7 I6 IO6 17
8 I7 IO7 16 8 I7 IO7 16 R5
10 k
9 I8 IO8 15 9 I8 IO8 15
10 I9 IO9 14 10 I9 IO9 14 R7
10 k
11 I10 11 I10
13 13
I11 I11 R6k
10

AM22V10 AM22V1 0
10 k

a.- Integre en un proyecto TOP-LEVEL, y en forma esquemática un contador de 4 bits con salida a Display
de 7 segmentos.
b.- Use el módulo VHDL del decodificador de binario a 7 segmentos (0 .. F ) , obtenido anteriormente, cree
su símbolo o esquemático.

SEÑAL DE RELOJ
Para generar la señal de reloj utilice el oscilador de cuarzo de 100MHZ existente en la tarjeta
y un arreglo de divisores puestos en cascada

6.- Multiplexor de 4 dígitos


Tipo de práctica: LABORATORIO
Muestre el número 1234, en 4 display de 7 segmentos, usando la técnica de multiplexado.
Use como referencia el circuito de la figura 2.7
13

Figura 2.6 Circuito multiplexor de 4 digitos.

Para armar el circuito utilice los módulos de la biblioteca de ISE

Para usar usar constantes en ISE seleccione: Add Symbols > Categories >
General > Symbols >constant

7.- DETECTOR de SECUENCIA


Tipo de práctica: SIMULADA
a.- Diseñar usando FF- D un circuito secuencial que detecte la secuencia 1101 correspondiente al código
binarioe 13.
b.- Para el diseño use el modo de DIAGRAMA DE ESTADO de DEUSTO
c.- Simule el diseño en proteus o ise

CONTADOR PROGRAMABLE

8.- Control de un motor de Pasos

Tipo de Práctica : Laboratorio


Hacer un circuito que controle la posición y dirección de un motor de pasos
a.- Investigar funcionamiento de un motor de pasos
14
b.- Investigar la secuencia de activación de las bobinas del motor.
c.- Diseñar el circuito secuencial.
c.- Implementarlo usando un PLD.
d.- Usar dos botones de entrada: uno para los pulsos y
otro para la dirección.
Solicitar al profesor el amplificador de corriente y el motor a pasos.

+12V

PULSO
U1
1 23 AMPLIFICADOR
0 2
I0/CLK
I1
IO0
IO1
22
3 21 9
I2 IO2 COM
4 20 1 16
I3 IO3 1B 1C
5 19 2 15
I4 IO4 2B 2C
6 18 3 14
DIRECCION 7
I5 IO5
17 4
3B 3C
13
I6 IO6 4B 4C
8 16 5 12 +88.8
I7 IO7 5B 5C
9 15 6 11
0 10
I8
I9
IO8
IO9
14 7
6B
7B
6C
7C
10
11
13
I10
ULN2003A MOTOR A PASOS UNIPOLAR
I11
AM22V10

PROYECTO: RELOJ DIGITAL


Tipo de práctica: LABORATORIO

Objetivo: Hacer un reloj que muestre las horas y minutos en forma digital, para los segundos se usara un
LED, para la realización se utilizara un CPLD.
a.- Analizar el funcionamiento del circuito de “Reloj Digital”, proporcionado como ejemplo por Proteus, el
cual está en::
FILE => Open Sample Projects => Sample Projects Browser => Interactive Simulation => 74 TTL
CLOCK
b.- Estudiar el concepto de contador programable
15

c.- Hacer un diagrama a bloques del circuito y explicar el funcionamiento de cada bloque.

Figura __ Diagrama a bloques del reloj. y generador de pulsos


c.- Diseñar en ISE en modo esquemático cada BLOQUE del reloj.
e.- Integre todos los bloque para armar el reloj
f.- Simular el diseño con ISE.
g.- Pruebe su funcionamiento.
f .- Modifique el reloj para que se active una alarma a las 7:00 AM.
16

APENDICE
17

Figura Contador de 16 bits

CONTADOR DE DOS DIGITOS DE 0 A 99 EN HDL

Potrebbero piacerti anche