Sei sulla pagina 1di 11

SpyGlass based Multi-

mode Constraints
Analysis Flow for LSI

December, 2010

Atrenta Confidential © 2010 Atrenta Inc.


Multi-Mode Constraints Analysis Flow

Setup Run & Analyze


SG Collate Results
Atrenta Confidential © 2010 Atrenta Inc.

2
Step1: Setup SpyGlass

Setup Run & Analyze


SG Collate Results
Requirements:
 Copy the reference package “spyglass_regression” in your current working area
 Populate the required source files(e.g sources.f, tech_lib.tcl etc) as required for
successful Design Read
 Add the design information in the design setup(design.setup) file
SG setup script use model:
% setup_spyglass -top=<design_top_name> (Must)
-sdcfile=<list of sdc> (Must)
-mode=<STA_mode_name> (Optional)
Atrenta Confidential © 2010 Atrenta Inc.

How to view/debug SpyGlass design read results:


% debug_spyglass -setup -top=<design_top_name>
Key Benefits:
 SG setup is very much simplified, user needs to just provide bare minimum inputs and
does not have to worry about creation of SG setup files.
 SpyGlass Constraint File(SGDC) gets created automatically
 One time process 3
Reference SG Package Structure
sg_setup.prj

sg_constraint.sgdc

sg_dashboard_criteria.dat
spyglass_setup
design.setup

sources.f

tech_libs.tcl

spyglass_regressi setup_spyglass
on
scripts run_spyglass
Atrenta Confidential © 2010 Atrenta Inc.

debug_spyglass

sg_methodology

sample_setup_files

4
Design Setup (design.setup) File

User defined fields

Central CAD
defined fields
Atrenta Confidential © 2010 Atrenta Inc.

5
Step2-3: Run SpyGlass & Analyze Results

Setup Run & Analyze


SG Collate Results
Requirements:
 SG setup done
 Make sure that design is read successfully and there are no unknown
blackboxes, elaboration/synthesis/syntax/SDC errors.

SG setup script use model:


% run_spyglass -top=<design_top_name> (Must)
-mode=<STA_mode_name or list of modes> (Optional, default=all)
-incr (Optional)
Atrenta Confidential © 2010 Atrenta Inc.

How to view/debug SpyGlass design read results:


% debug_spyglass -top=<design_top_name> (Must)
-mode=<STA_mode_name> (Must)

6
SpyGlass Results Directory Structure

spyglass_results

setup_check constraints Analysis

Top_M1 Top_M2 … Sg_main_dashboard.html

Top_M1.prj Top_M2.prj

Top_M1.config Top_M2.config
Atrenta Confidential © 2010 Atrenta Inc.

SG Result dir SG Results dir

Dashboard dir Dashboard dir

7
Result Collation – Complete Run
Summary page is created to provide status of each of the modes
(sg_main_dashboard.html)
 This is extracted from the individual run directories
 Clicking on the goal status brings up the dashboard for that run
Atrenta Confidential © 2010 Atrenta Inc.

8
Result Collation – Incremental Run
In the incremental mode, the report compares the violations reported
in the previous run and the current mode
 It highlights where we have new violations as well as where violations have gone
down
Atrenta Confidential © 2010 Atrenta Inc.

9
Summary
Easy to use and adopt

All STA modes can be analyzed in parrallel, which results in huge


saving in run time.

All STA modes can be analyzed in incremental mode to check the


impact of incremental changes/updates made to design, SDC files.

Results of all modes linked to a central web page and health of a


particular STA mode can be checked just with few clicks.

Extensible Infrastructure
Atrenta Confidential © 2010 Atrenta Inc.

 More SG checks/goals can be added as required


 Can easily be used as Constraints analysis regression setup

10
Thank you!

Atrenta Confidential © 2010 Atrenta Inc.

Potrebbero piacerti anche