Sei sulla pagina 1di 21

Actividades a desarrollar

Tarea 1 – Fundamentos para el diseño digital

Ejercicios a resolver:

1. Realice las siguientes conversiones de base 10 a la base indicada


(Secciones 3.3 y 3.5 del libro de Muñoz):

a. 1252,45 a Hexadecimal

Parte entera

Parte fraccionaria
b. 651,12 a Binario

Parte entera

Parte fraccionaria

c. 78,4541 a Hexadecimal

Parte entera
Parte fraccionaria

d. 12AB a Binario

binario hexadecimal binario hexadecimal


0000 0 0 0
0001 1 1 1
0010 2 2 2
0011 3 3 3
0100 4 4 4
0101 5 5 5
0110 6 6 6
0111 7 7 7
1000 8 8 8
1001 9 9 9
1010 A A A
1011 B B B
1100 C C C
1101 D D D
1110 E E E
1111 F F F
2. Convierta los siguientes números a complemento a 2 con el
número bits indicados (Sección 3.7.2 del libro de Muñoz).
a. con 6 bits.

Para aplicar el complemento Az se busca todos los 0 de derecha a


izquierda hasta encontrarse con el primer 1 a partir de ahí aplicamos el
complemento Az combiando 1 por 0 y 0 por 1 lo anterior se utiliza
cuando el signo es –

b. con 8 bits
c. con 8 bits

d. con 6 bits

Nota: Para el punto 1 y 2, evidenciar el proceso realizado para la


conversión.
3. Sea la siguiente función Booleana (Secciones 2.3 y 2.4 del libro de
Muñoz):

a) Utilizando mapas de Karnaught encuentre la mínima expresión


Suma de Productos.

A B C D F
0 0 0 0 0 1
1 0 0 0 1 0
2 0 0 1 0 1
3 0 0 1 1 0
4 0 1 0 0 1
5 0 1 0 1 0
6 0 1 1 0 1
7 0 1 1 1 0
8 1 0 0 0 1
CD- 00 01 11 10
9 1 0 0 1 0
AB
10 1 0 1 0 1
00 1 1
11 1 0 1 1 0
01 1 1
12 1 1 0 0 0
11 1
13 1 1 0 1 0
10 1 1
14 1 1 1 0 1
15 1 1 1 1 0

̅ ̅̅̅̅ ̅̅̅̅
b) Utilizando mapas de Karnaught encuentre la mínima expresión
Producto de Sumas.

A B C D F
0 0 0 0 0 1
1 0 0 0 1 0
2 0 0 1 0 1
3 0 0 1 1 0
4 0 1 0 0 1
5 0 1 0 1 0
6 0 1 1 0 1
7 0 1 1 1 0 CD- 00 01 11 10
8 1 0 0 0 1 AB
9 1 0 0 1 0 00 0 0
10 1 0 1 0 1 01 0 0
11 1 0 1 1 0 11 0 0 0
12 1 1 0 0 0 10 0 0
13 1 1 0 1 0
14 1 1 1 0 1
15 1 1 1 1 0

̅ ̅ ̅
c) Implemente en VHDL ambas expresiones usando el software
EDA Playground. En el informe debe incluir una impresión de
pantalla de la descripción en VHDL y la simulación.

̅ ̅̅̅̅ ̅̅̅̅
̅ ̅ ̅
d) Construir el esquemático de la función simplificada para la
suma de productos.
̅ ̅̅̅̅ ̅̅̅̅
4. Sea la siguiente función Boolea (Secciones 2.3 y 2.4 del libro de
Muñoz):

a) Utilizando mapas de Karnaught encuentre la mínima expresión


Suma de Productos.

CD- 00 01 11 10
AB
00 1 1
01 1 1
11 1 1
10 1 1 1

Evaluamos el cambio entre las variables dentro del grupo de forma horizontal y vertical y
anotamos por cada grupo cada variable que no cambie, dándonos como resultado lo siguiente

MAX SOP

Y su tabla de verdad seria

A B C D F
0 0 0 0 0 1
1 0 0 0 1 0
2 0 0 1 0 1
3 0 0 1 1 0
4 0 1 0 0 1
5 0 1 0 1 0
6 0 1 1 0 1
7 0 1 1 1 0
8 1 0 0 0 0
9 1 0 0 1 0
10 1 0 1 0 0
11 1 0 1 1 1
12 1 1 0 0 1
13 1 1 0 1 1
14 1 1 1 0 0
15 1 1 1 1 0
b) Utilizando mapas de Karnaught encuentre la mínima expresión
Producto de Sumas.

CD- 00 01 11 10
AB
00 0 0
01 0 0
11 0 0
10 0

Evaluamos el cambio entre las variables dentro del grupo de forma horizontal y
vertical y anotamos por cada grupo cada variable que no cambie, dándonos
como resultado lo siguiente

MAX POS

Y su tabla de verdad seria

A B C D F
0 0 0 0 0 1
1 0 0 0 1 0
2 0 0 1 0 1
3 0 0 1 1 0
4 0 1 0 0 1
5 0 1 0 1 0
6 0 1 1 0 1
7 0 1 1 1 0
8 1 0 0 0 0
9 1 0 0 1 0
10 1 0 1 0 0
11 1 0 1 1 1
12 1 1 0 0 1
13 1 1 0 1 1
14 1 1 1 0 0
15 1 1 1 1 0
c) Implemente en VHDL ambas expresiones usando el software EDA
Playground. En el informe debe incluir una impresión de pantalla
de la descripción en VHDL y la simulación.
5. El área de mantenimiento está evaluando el funcionamiento de un
motor eléctrico de una máquina de torno, la máquina esta en proceso
de actualización, por tanto, el área de ingeniería a programado
repotenciar la máquina cambiando el mando de conexión que se
hacía con contactores, a un sistema digital, de manera que la
máquina siga prestando su servicio, con una baja inversión en el
proceso de mejora.

Para esto se le ha solicitado al ingenier@ diseñar un circuito que


permita reemplazar el sistema de conmutador con las siguientes
especificaciones:

a. Tener tres pulsadores P1, P2, P3.


b. Si se pulsa P1 conecte el motor con los bobinados estrella
c. Si pulsa P2 conecte el motor con los bobinados en
triángulo.
d. Si se pulsa P3 se desconecte el sistema.
e. Si se pulsa dos pulsadores, el motor no se activa, pero se
enciende una alarma de peligro.
f. Estado en 1 es activo, estado 0 es apagado.

a) Encuentre una tabla de verdad que modele el funcionamiento del


circuito. Esta tabla tendrá tres entradas (para cada interruptor) y
tres salidas (bobinas para cada tipo de conexión y una alarma).
Nombramos nuestras variables de entrada
P1, pulsador 1
P2, pulsador 2
P3, pulsador 3
Nombramos nuestras variables de salida
M1, motor estrella
M2, motor triangulo
A, alarma
P1 P2 P3 M1 M2 A
0 0 0 0 0 0 0
1 0 0 1 0 0 0
2 0 1 0 0 1 0
3 0 1 1 0 0 0
4 1 0 0 1 0 0
5 1 0 1 0 0 0
6 1 1 0 0 0 1
7 1 1 1 0 0 0

b) Simplifique dicha tabla de verdad usando Karnaught e


impleméntela en VHDL.

P2P3 P2’P3’ P2’P3 P2P3 P2P3’


00 01 11 10
P1
P1’ 0 0 0 0 0

P1 1 1 0 0 0

P2P3 P2’P3’ P2’P3 P2P3 P2P3’


00 01 11 10
P1
P1’ 0 0 0 0 1

P1 1 0 0 0 0

P2P3 P2’P3’ P2’P3 P2P3 P2P3’


00 01 11 10
P1
P1’ 0 0 0 0 0

P1 1 0 0 0 1
Esquemático MIN SOP

c) Simule su diseño en EDA PLAYGROUND para comprobar el


correcto funcionamiento de su circuito.
6. Sea la siguiente función Booleana, en donde los primeros términos
son los mintérminos (m) y los segundos (d) son condiciones libres
(Sección 2.4.3):

∑ ∑

a. Encuentre la mínima expresión SOP, usando mapas de Karnaught.

∑ ∑

FILA A B C D SALIDA F
0 0 0 0 0 1
1 0 0 0 1 0
2 0 0 1 0 0
3 0 0 1 1 0
4 0 1 0 0 1
5 0 1 0 1 1
6 0 1 1 0 0
7 0 1 1 1 1
8 1 0 0 0 1
9 1 0 0 1 0 CD- 00 01 11 10
10 1 0 1 0 0 AB
11 1 0 1 1 0 00 1 0 0 0
12 1 1 0 0 X 01 1 1 1 0
13 1 1 0 1 X 11 x x 1 X
14 1 1 1 0 X 10 1 0 0 0
15 1 1 1 1 1
b. Implemente en VHDL la expresión usando el software EDA
Playground. En el informe debe incluir una impresión de pantalla
de la descripción en VHDL y la simulación

Potrebbero piacerti anche