Sei sulla pagina 1di 39

Tema9

M emorias y dispositivos programables

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


C ontenidos

M emorias :
C oncepto y jerarquía de memorias .
P rincipios de las memorias s emiconductoras .
M emorias de acces o aleatorio (R AM ).
M emorias de s ólo lectura (R O M ).
E xpans ión de memorias .
Tipos es peciales de memorias .

D is pos itivos programables : Arquitectura bás ica de una FP G A.

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias y dispositivos programables

C oncepto y jerarquía de memorias

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


C oncepto de memoria

• Una computadora requiere del almacenamiento permanente de una


gran cantidad de datos binarios.
• L os sistemas basados en microprocesador necesitan dispositivos de
memoria con objeto de almacenar los programas y mantener los
datos generados durante el procesamiento.
• En informática, normalmente el término memoria hace referencia a
las memorias RA M y ROM y el término almacenamiento hace
referencia a los discos y demás dispositivos externos.

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


C lasificación de memorias

C aracterística C inta HD D R AM R OM FIFO D VD

M agnética X X

Tecnología S emiconductor X X X

Ó ptica X

Aleatorio X X
Acces o
S ecuencial X X X X

Volátil X X
Volatilidad
No volátil X X X X

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


C lasificación de memorias

Velocidad y tiempo de acces o


Velocidad de acceso: C antidad de bits que s e trans fieren por segundo
(bits por segundo)

Tiempo de acces o (tacces s ): Intervalo de tiempo que trans curre entre la


orden de acces o y el acces o al dato.

E jemplo de acceso de lectura a R O M o R AM :

D irecciones D ir. 1 D ir. 2


S elección chip (C S )

B us D atos D ato 1 D ato 2

tacces s C S tacces s D irecc

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


Jerarquía de memorias

Reg.

Caché

V elocidad
y M emoria principal Capacidad
(RA M y ROM )
Coste
M emoria secundaria
(HD D )

A lmacenamiento externo

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias y dispositivos programables

P rincipios de las memorias semiconductoras

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M atriz de memoria básica

•Cada elemento de 7 6 5 4 3 2 1 0
almacenamiento de una memoria 0
puede almacenar 1 bit y se 1
denomina celda. 2
3
4
•L as memorias están formadas por
5
matrices de celdas.
6
7
•Cada fila de esa matriz de 8
memoria se denomina palabra y 9
representa la información que 10
puede leerse/escribirse en cada 11
acceso a la misma. 12
13
14
15

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


Unidades de datos binarios

•Una palabra de bits puede tener cualquier longitud aunque


por defecto suele considerarse de 16 bits.

•En cualquier caso, una palabra de bits puede


descomponerse en las siguientes unidades:

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

Bit
N ibble
Byte

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


D irección y capacidad de las memorias

•L a posición de una
n M k
A D palabra en una
(Bus de direcciones ) 2nxk (B us de datos ) memoria se denomina
dirección.
7 6 5 4 3 2 1 0
0
1
2
•L a capacidad de una
3 memoria es el número
A
A( ddress 4 total de bits que puede
Bus) 5 almacenar, 2nxk.
6
7

(D ata B us) k

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


O peraciones básicas de las memorias

Al tratars e de dis pos itivos de almacenamiento, las memorias cuentan con


dos operaciones bás icas :
Es critura (write): permite almacenar una palabra en una determinada
dirección de la memoria.
Lectura (read):permite recuperar la palabra almacenada en una
determinada dirección de la memoria.
P ara la implementación de es tas operaciones se neces itan dos bus es :
B us de direcciones (addres s bus ): para indicar la dirección de
lectura/es critura.
B us de datos (data bus ): para leer/es cribir la palabra en s í.

R W

A n-1: A 0 M D k-1: D 0

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


O peración de escritura

D ata B us M (n) 7 6 5 4 3 2 1 0
0
E n el ejemplo: 1
01001011 M (101) 2
3
A
(A ddress 4
Bus) 5 0 1 0 0 1 0 1 1
6
7

0 1 0 0 1 0 1 1
(D ata Bus)
Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a
O peración de lectura

D = [M (n]
7 6 5 4 3 2 1 0
0
E n el ejemplo:
1
M (101)= 01001011
2
3
A
(A ddress 4
Bus) 5 0 1 0 0 1 0 1 1
6
7

0 1 0 0 1 0 1 1
D (D ata B us)
Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a
Las memorias R AM y R O M

Las dos principales categorías de memorias semiconductoras


son:
R OM (R ead-O nly Memory): sólo pos een capacidad de lectura y
son no volátiles.
R AM (R andom-Access Memory): pos een capacidad de lectura y
es critura y s on volátiles .

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias y dispositivos programables

M emorias de sólo lectura (R O M )

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


Tecnologías de la R O M

• TTL y M O S

• C on metalización

• C on fusibles

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


Familia de memorias R O M

R OM
Read Only Memory

PR OM
R OM de máscara
Programmable ROM

EPR OM
Erasable PROM

UV EPR OM EE PR OM
Ultraviolet EPROM Electrically EPROM

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emoria R O M

C S : C hip S election
CS CS A 2 A 1 A0 D3 D 2 D1 D 0

R OM 0 - - - HI HI HI HI
0 0 0 0 0 1 1
23 x 4 1
1 0 0 1 1 0 0 0
x A 2 D3 1 0 1 0 1 0 1 0
1 0 1 1 1 0 1 1
y A 1 D2 1 1 0 0 0 1 1 1
z A 0 D1 1 1 0 1 0 1 0 1
1 1 1 0 1 1 0 0
D0 1 1 1 1 0 1 0 0

En cada columna se realiza una función (cuando CS=1). P. ej.


D 0 = Σ (m0, m3, m4, m5) = Π (M 1, M 2, M 6, M 7)

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emoria R O M

D escripción de R O M en lenguaje Verilog


CS modul e r om8x4(
i nput CS ,
i nput [ 2: 0] A,
out put r eg [ 3: 0] D
);

al ways @( CS , A)
A2 2 i f ( CS )
ROM cas e ( A)
A1 1
8x4 0: D = ' h3;
A0 0 1: D = ' h8;
2: D = ' hA;
3: D = ' hB;
4: D = ' h7;
3 2 1 0 5: D = ' h5;
6: D = ' hC;
def aul t : D = ' h4;
endcas e
D3D2D1D0 el s e
D = ' hZ;

endmodul e // r om8x4

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


O peración de memoria R O M

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias y dispositivos programables

M emorias de acceso aleatorio (R AM )

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


Familia de memorias R AM

D
•L as dos categorías principales de
memorias RA M son: S

– SR AM (Static RA M ): Q
realizada con flip-flops (más
rápida). Celda de SRAM

S
– DR AM (D ynamic RA M ):
realizada con condensadores D Q
(más económica).

Celda de D RAM

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


D escripción de memoria R AM

Puede tener las líneas de datos unidireccionales (entradas y salidas


separadas) o bidireccionales.
D escripción de RA M 2n x k con líneas de datos bidireccionales:

R W
RW M← D=
00 M←M HI
A n-1: A 0 M D k-1: D 0
01 M(A) ← D [D in]
10 M←M D = M(A)
11 Prohibido

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


D iseño de memoria R AM

R AM 2nxk: Es tructura interna bás ica

R
CControl
ontrol
W

En 0 …
1

Trans ceivers
A n-1: A 0 … In/ D k-1: D 0
2

DEC
M atriz de
S elección

n : 2n O ut
de filas

celdas
2 n
xk celdas

I/O
2 n-1 …

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


D escripción Verilog de R AM

D escripción de R AM en lenguaje Verilog


CS W E OE
modul e r am8x 4(
i nput CS ,
i nput WE,
i nput OE,
i nput [ 2: 0] A,
A2 2 i nout [ 3: 0] D
RAM );
A1 1
8x4
A0 0 r eg [ 3: 0] mem [ 7: 0] ;

al ways @( CS , WE, A, D)
3 2 1 0 i f ( CS && WE)
mem[ A] = D;

as s i gn D = ( CS && ! WE && OE) ?


D3D2D1D0 mem[ A] : ' hZ;

endmodul e // r am8x 4

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


O peración de memoria R AM

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias y dispositivos programables

E xpansión de memorias

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


E xpansión de longitud de palabra en memorias R O M

C ons eguir una R O M 2 3 x 8 con dos R O M 2 3 x 4


CS

A2 2 2
R OM R OM
A1 1 1
8x4 8x4
A0 0 0

3 2 1 0 3 2 1 0
R OM 8x8

D7D6D5D4 D3D2D1D0

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


E xpansión de longitud de palabra en memorias R AM

CS W E OE

A2 2 2
RAM RAM
A1 1 1
8x4 8x4
A0 0 0

3 2 1 0 3 2 1 0
RAM 8x8

D 7 D 6 D5 D 4 D 3 D2 D 1 D 0

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


E xpansión de número de palabras en memorias R O M

C ons eguir una R O M 2 4 x 4 con dos R O M 2 3 x 4


CS

A3
A2 2 2
ROM ROM
A1 1 1
8x4 8x4
A0 0 0

3 2 1 0 3 2 1 0

ROM 16x4

D3D2D1D0
Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a
E xpansión de número de palabras en memorias R AM

CS W E OE

A3
A2 2 2
RAM RAM
A1 1 1
8x4 8x4
A0 0 0

3 2 1 0 3 2 1 0

RAM 16x4

D3D2D1D0

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias y dispositivos programables

Tipos especiales de memorias

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias secuenciales

M emorias LIFO (Last In-First O ut)

PUS H PULL o POP


Leer /y extraer
Es cribe nuevo dato
Dato último último dato

Fondo TOP
Dato primero (R 0)
(D)

PILA VAC ÍA: C uando no s e ha es crito ning ún dato

PILA LLENA: C uando es tán es critos D datos

PILA OC IOS A: C uando no hay Pull ni Pus h

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias LIFO (Last In-First O ut)

•Push (Escritura): se escribe en la cabecera de la pila


(siguiente posición libre).
•Pull (Lectura): se lee el dato más nuevo y se libera la
posición.
Des cripción es tructural Des cripción funcional

Din (n) Dout (n)


Pus h Pull R x← Dout =
00 R x ←R x Dout = HI
Pus h R0 01 R x ← R(x+1); R (D-1) ← 0 Dout = [R 0]
Pull R1 10 R x ← R(x-1); R 0 ←D in Dout = HI
... 11 Prohibida
R (D-1)

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias FIFO (First In-First O ut)

Ej. 64 palabras de 8 bits


S is tema 1: S is tema 2:
el que envía 64 el que recibe
Din 8 Dout
E ntrada preparada IR ··· OR S alida preparada
Des plazar entrada S I S O Des plazar s alida
R eloj de S is tema 1 C k1 C k2 R eloj de S is tema 2

1º IR activo: 2º Almacenamiento en las 3º OR activo:


S is tema 1 (con C k1) pos iciones vacías más S is tema 2 (con C k2)
próximas a la s alida
S I activo y, S O activo y,
s ecuencialmente, s ecuencialmente,
Din: D, a, t, o, s Dout: D, a, t, o, s
llena

s o taD

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias de doble puerto

•Cuentan con 2 puertos


CS1 W E1 OE1 CS0 W E0 OE0 independientes por lo que
permiten simultanear:
A12 2
A11 1
A10 0
– 2 L ecturas
RAM
8x4 – 2 Escrituras
A02 2 (Doble puerto)
A01 1 – 1 L ectura + 1 Escritura
A00 0
3 2 1 0 3 2 1 0

D13 D12 D 11 D10 D03 D02 D 01 D00

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias con bus de direcciones multiplexado

CS WE OE •Se ahorran líneas de


conexión utilizando un bus
más estrecho que el necesario
A 19/A 9 9
para suministrar la dirección.
A 18/A 8 8 RA M •Son más lentas ya que hay
… 220x4 que suministrar la dirección
A 11/A 1 1 por partes.
A 10/A 0 0
3 2 1 0

D 3D 2D 1D 0

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a


M emorias NVR AM (Non-Volatile R AM )

P ueden implementarse siguiendo varias estrategias diferentes:


S R AM + P ila de litio (configuración B IOS )
S R AM + Batería (videocons olas portátiles)
R AM + E E P R OM : ante un pulso de retención, el contenido de la
R AM se vuelca en la E E P R OM en paralelo (P D A).

Depar t ament o de Tec nol ogí a El ec t r óni c a – Uni v er s i dad de S ev i l l a

Potrebbero piacerti anche