Sei sulla pagina 1di 11

1. list of all inputs to start PD flow and whats inside.

In which file standard


cells height and row information's mentioned.
2. Sanity checks?
3. whats the effects with input floating nets in netlist and what do you do ?
4. channel length calculation and where is the information's of macros given.
5. setup and hold time definitions with diagram.
6. why clock period is not required in hold time calculation.
7. basics of latchup and remedies
8. how does tools do placement optimizations
9. crosstalk and remedies.
10.Antenna and remedies.
11.time borrowing concepts in latches.
12.Draw INV, AND and OR gate using 2 inputs mux.
13.why we need setup and hold time for flops.
14.define meta-stable state and Noise margins.
15.inter gate clocking (IGT) why do we use it? (what is static and dynamic
power co
16.nsumption's).
17.define CMOS operating modes with drain current equation.
18.what is crosstalk window concepts.
19.Antenna effects and remedies.
20.who is your client?, what are the tools did you used?
21.what are the inputs did you get form synthesis guy?
22.how did you validate that?
23.some questions on SDC check
24.pick any one of block and explain PD flow.how did you place macros?
25.what is the minimum space did you follow in your design?
26.present technologies not using straps for macros, then what is the use to
give VDD and VSS in between two macros
27.what are the metal layers did you used in your design?
28.M1 is used for standard cells, so which layer did you connected this M1 -
means did you connected to M9 or M8?
29.what are the checks you have done after placement
30.what are the inputs required to start CTS?
31.what is the spec file consists of: he is expecting each and every statements
in the spec file
32.how did you analyse setup reports?
33.what is the reasons for set up (by seeing the report we should give the
answer-not theoretically
34.how did you overcome that?
35.what is DRV, what are those?
36.how did you fixed tran violation?
37.Do you know IR drop, did you work on IR drop tool?
38.what is the important of clock gating?
39.where will you place the clock gating cells-near to source or sync?
40.what are the DRC's did you faced?
41.list out any 10 DRC.
42.insertion and skew delay for your design.
43.How did you overcome the congestion?
44.Out of all paths how did you know which cell is giving setup violation and
how did you overcome that.
45.what is the minimum space did you follow in your design?
46.present technologies not using straps for macros, then what is the use to
give VDD and VSS in between two macros
47.M1 is used for standard cells, so which layer did you connected this M1 -
means did you connected to M9 or M8?
48.where will you place the clock gating cells-near to source or sync?
49.what are the DRC's did you faced? 22. list out any 10 DRC.
50.how did you start your Project flow? (Expectation was to mention all
inputs and from whom we get, sanity checks and why we do it)
51.Floorplan (expectation was to explain wrt one block)
52.Do we need to keep spacing between macro if it doesnât have pins
facing each other.( expectation was to justify our answer)
53.In the flow why macros were placed only at the bottom
54.How do we Qualify floorplan
55.Before starting placement what we do?
56.Congestion and solution
57.Checks after placement
58.Qualifying placement
59.Before starting cts what we need to do (analyze the quality of placement)
60.Inputs to CTS
61.What we analyze after CTS
62.How we can tell CTS is build properly
63.Clock path (why do we set transition limit) If library has max tran limit of
1ns and you are getting 900ps as transition value, can you take it further
(No as c
64.lock tree has a chain of buffer we will see min pulse width violation
âclock absorptionâ)
65.What you did in routing
66.Steps in routing
67.Have you run caliber (inputs to DRC and LVS)
68.List some DRCâs and LVS issues
69.timing problem to calculate setup and hold slack
70.explain vth ( on what it depends )
71.explain latchup( how do you reduce )
72.why are fillers used
73.what are end cap cells ?
74.what are decap cells ?
75.Power planning, EM violation - he expected more :-)
76.Do we place anything b/w Macros?
77.How do you estimate die size?
78.How do you calculate Aspect Ratio?
79.How you place macros? For my exp, 10-15 Macros was not sufficient. He
was expect
80.ing 100/150+ M ï
81.Do you know test mode? How do you insert scan chains? How it is done?
82.Do you know about set_false_path, clock_groups, mutually_exclusive,
asynchronous case_analysis?
83.Case 1: there is a 2:1 mux. set_case_analysis is set to â0â.Case 2: there
is another 2:1mux. set_disable_timing is set on B->Y.What is the
difference? Explain w.r.t timing arcs.
84.What is the difference b/w Exclude pin and Ignore Pin?
85.Command to spread the cells.
86.During Placement if more cells are added(utilization increases) by the tool,
what could be the reason?
87.How setup/hold analysis are done on CGCs?
88.Why ENDCAP and WELL TAP are added before Placement only?
89.During FloorPlan, if IO info is not given, then how will you proceed? Say,
you cant ask the manager(he too doesn't know) nor you can assume and
add.
90.Let's say after Placement there is setup violation. What will you do? How
will you analyze? How will you validate the violation?
91.Why power stripes routed in the top metal layers?
92.Why do you use alternate routing approach HVH/VHV (Horizontal-
Vertical-Horizontal/Vertical-Horizontal-Vertical)?
93.What are several factors to improve propagation delay of standard cell?
94.How do you compute net delay (interconnect delay) / decode RC values
present in tech file?
95.What are various ways of timing optimization in synthesis tools?
96.What would you do in order not to use certain cells from the library?
97.How delays are characterized using WLM (Wire Load Model)?
98.What are various techniques to resolve congestion/noise?
99.Letâs say there enough routing resources available, timing is fine, can
you increase clock buffers in clock network? If so will there be any impact
on other parameters?
100. How do you optimize skew/insertion delays in CTS (Clock Tree
Synthesis)?
101. What are pros/cons of latch/FF (Flip Flop)?
102. How you go about fixing timing violations for latch- latch paths?
103. As an engineer, letâs say your manager comes to you and asks for
next project die size estimation/projection, giving data on RTL size,
performance requirements. Howdo you goabout the figuring out and come
up with die size considering physical aspects?
104. How will you design inserting voltage island scheme between macro
pins crossing
105. core and are at different power wells? What is the optimal resource
solution?
106. What are various formal verification issues you faced and how did
you resolve?
107. How do you calculate maximum frequency given setup, hold, clock
and clock skew?
108. What are effects of meta-stability?
109. What are the challenges you faced in place and route, FV (Formal
Verification),
110. ECO(Engineering Change Order) areas?
111. How long the design cycle for your designs?
112. What part are your areas of interest in physical design?
113. Explain ECO (Engineering Change Order) methodology.
114. Explain CTS (Clock Tree Synthesis) flow.
115. What kind of routing issues you faced?
116. How does STA (Static Timing Analysis) in OCV (On Chip Variation)
conditions done? How do you set OCV (On Chip Variation) in IC
compiler? How is timing correlation done before and after place and
route?
117. If there are too many pins of the logic cells in one place within core,
what kind of issues would you face and how will you resolve?
118. Define hash/ @array in perl.
119. Using TCL (Tool Command Language, Tickle) how do you set
variables?
120. What is ICC (IC Compiler) command for setting derate factor/
command to perform
121. physical synthesis?
122. What are nano route options for search and repair?
123. What were your design skew/insertion delay targets?
124. How is IR drop analysis done? What are various statistics available in
reports?
125. Explain pin density/ cell density issues, hotspots?
126. How will you relate routing grid with manufacturing grid and judge if
the routing grid is set correctly?
127. What is the command for setting multi cycle path?
128. If hold violation exists in design, is it OK to sign off design? If not,
why?
129. How are timing constraints developed?
130. Explain timing closure flow/methodology/issues/fixes.
131. Explain SDF (Standard Delay Format) back annotation/ SPEF
(Standard Parasitic Exchange Format) timing correlation flow.
132. Given a timing path in multi-mode multi-corner, how is STA (Static
Timing Analysis) performed in order to meet timing in both modes and
corners, how are PVT (Process-Voltage-Temperature)/derate factors
decided and set in the Primetime flow?
133. With respect to clock gate, what are various issues you faced at
various stages in the physical design flow?
134. What are synthesis strategies to optimize timing?
135. Explain ECO (Engineering Change Order) implementation flow.
Given post routed database and functional fixes, how will you take it to
implement ECO (EngineeringC
136. hange Order) and what physical and functional checks you need to
perform?
137. In building the timing constraints, do you need to constrain all IO
(Input-Output) ports?
138. Can a single port have multi-clocked? How do you set delays for such
ports?
139. How is scan DEF (Design Exchange Format) generated?
140. What is purpose of lock up latch in scan chain?
141. How do you set inter clock uncertainty?
142. In DC (Design Compiler), how do you constrain clocks, IO (Input-
Output) ports, maxcap,max tran?
143. What are differences in clock constraints from pre CTS (Clock Tree
Synthesis) to post
144. How is clock gating done?
145. what constraints you add in CTS (Clock Tree Synthesis) for clock
gates?
146. What is tradeoff between dynamic power (current) and leakage power
(current)?
147. How do you reduce standby (leakage) power?
148. Explain top level pin placement flow? What are parameters to decide?
149. Given block level netlists, timing constraints, libraries, macro LEFs
(Layout Exchange Format/Library Exchange Format), how will you start
floor planning?
150. With net length of 1000um how will you compute RC values, using
equations/tech file info?
151. What do noise reports represent?
152. What does glitch reports contain?
153. What are CTS (Clock Tree Synthesis) steps in IC compiler?
154. What do clock constraints file contain?
155. How to analyze clock tree reports?
156. What do IR drop Voltagestorm reports represent?
157. Where /when do you use DeCAP (Decoupling Capacitor) cells?
158. What are various power reduction techniques?
159. What is setup/hold? What are setup and hold time impacts on timing?
How will you fix setup and hold violations?
160. Explain function of Muxed FF (Multiplexed Flip Flop) /scan FF (Scal
Flip Flop).
161. What are tested in DFT (Design for Testability)?
162. In equivalence checking, how do you handle scan en signal?
163. In terms of CMOS (Complimentary Metal Oxide Semiconductor),
explain physical parameters that affect the propagation delay?
164. Why is power signal routed in top metal layers?
165. How do you minimize clock skew/ balance clock tree?
166. Given 11 minterms and asked to derive the logic function.
167. Given C1= 10pf, C2=1pf connected in series with a switch in
between, at t=0 switch is open and one end having 5v and other end zero
voltage; compute the voltage across C2 when the switch is closed?
168. Explain the modes of operation of CMOS (Complimentary Metal
Oxide Semiconductor) inverter? Show IO (Input-Output) characteristics
curve.Implement a ring oscillator.
169. How to slow down ring oscillator?
170. How do you optimize power at various stages in the physical design
flow?
171. What timing optimization strategies you employ in pre-layout /post-
layout stages?
172. What are process technology challenges in physical design?
173. Design divide by 2, divide by 3, and divide by 1.5 counters. Draw
timing diagrams.
174. What are multi-cycle paths, false paths? How to resolve multi-cycle
and false paths?
175. Given a flop to flop path with combo delay in between and output of
the second flop feedback to combo logic. Which path is fastest path to
have hold violation and how will you resolve?
176. What are RTL (Register Transfer Level) coding styles to adapt to
yield optimal backend design?
177. Draw timing diagrams to represent the propagation delay, set up, hold,
recovery,removal, minimum pulse width.
178. Do you know about input vector controlled method of leakage
reduction?
179. How can you reduce dynamic power?
180. What are the vectors of dynamic power?
181. How will you do power planning?
182. If you have both IR drop and congestion how will you fix it?
183. Is increasing power line width and providing more number of straps
are the only solution to IR drop?
184. In a reg to reg path if you have setup problem where will you insert
buffer-near to launching flop or capture flop? Why?
185. How will you decide best floorplan?
186. What is the most challenging task you handled?
187. What is the most challenging job in P&R flow?
188. How will you synthesize clock tree?
189. How many clocks were there in this project?
190. How did you handle all those clocks?
191. Are they come from seperate external resources or PLL?
192. Why buffers are used in clock tree?
193. What is cross talk?
194. How can you avoid cross talk?
195. How shielding avoids crosstalk problem? What exactly happens
there?
196. How spacing helps in reducing crosstalk noise?
197. Why double spacing and multiple vias are used related to clock?
198. How buffer can be used in victim to avoid crosstalk?
199. What parameters (or aspects) differentiate Chip Design & Block level
design??
200. How do you place macros in a full chip design?
201. Differentiate between a Hierarchical Design and flat design?
202. Which is more complicated when u have a 48 MHz and 500 MHz
clock design?
203. Name few tools which you used for physical verification?
204. What are the input files will you give for primetime correlation?
205. What are the algorithms used while routing? Will it optimize wire
length?
206. How will you decide the Pin location in block level design?
207. If the routing congestion exists between two macros, then what will
you do?
208. How will you place the macros?
209. How will you decide the die size?
210. If lengthy metal layer is connected to diffusion and poly, then which
one will affect by antenna problem?
211. If the full chip design is routed by 7 layer metal, why macros are
designed using 5LM instead of using 7LM?
212. How did u handle the Clock in your design?
213. What are the Input needs for your design?
214. What is SDC constraint file contains?
215. How did you do power planning?
216. How to find total chip power?
217. How to calculate core ring width, macro ring width and strap or trunk
width?
218. How to find number of power pad and IO power pads?
219. What are the problems faced related to timing?
220. How did u resolve the setup and hold problem?
221. If in your design 10000 and more numbers of problems come, then
what you will do?
222. In which layer do you prefer for clock routing and why?
223. If in your design has reset pin, then itâll affect input pin or output
pin or both?
224. During power analysis, if you are facing IR drop problem, then how
did u avoid?
225. Define antenna problem and how did u resolve these problem?
226. How delays vary with different PVT conditions? Show the graph.
227. Explain the flow of physical design and inputs and outputs for each
step in flow.
228. What is cell delay and net delay?
229. What are delay models and what is the difference between them?
230. What is wire load model?
231. What does SDC constraints has?
232. Why higher metal layers are preferred for Vdd and Vss?
233. What is logic optimization and give some methods of logic
optimization.
234. What is the significance of negative slack?
235. What is signal integrity? How it affects Timing?
236. What is IR drop? How to avoid .how it affects timing?
237. What is EM and it effects?
238. What are types of routing?
239. What is a grid .why we need and different types of grids?
240. What is core and how u will decide w/h ratio for core?
241. What is effective utilization and chip utilization?
242. What is latency? Give the types?
243. How the width of metal and number of straps calculated for power
and ground?
244. What is negative slack ? How it affects timing?
245. What is track assignment?
246. What is grided and gridless routing?
247. What is a macro and standard cell?
248. What is congestion?
249. Whether congestion is related to placement or routing?
250. What are clock trees?
251. What are clock tree types?
252. Which layer is used for clock routing and why?
253. What is cloning and buffering?
254. What are placement blockages?
255. How slow and fast transition at inputs effect timing for gates?
256. What is antenna effect?
257. What are DFM issues?
258. What is .lib, LEF, DEF, .tf?
259. What is the difference between synthesis and simulation?
260. What is metal density, metal slotting rule?
261. What is OPC, PSM?
262. Why clock is not synthesized in DC?
263. What are high-Vt and low-Vt cells?
264. What corner cells contains?
265. What is the difference between core filler cells and metal fillers?
266. How to decide number of pads in chip level design?
267. What is tie-high and tie-low cells and where it is used
268. What is LEF?
269. What is DEF?
270. what is techfile?
271. what is .tf?
272. what is .lib?
273. what is .db file?
274. What are the steps involved in designing an optimal pad ring?
275. What are the steps that you have done in the design flow?
276. What are the issues in floor plan?
277. How can you estimate area of block?
278. How much aspect ratio should be kept (or have you kept) and what is
the utilization?
279. How to calculate core ring and stripe widths?
280. What if hot spot found in some area of block? How you tackle this?
281. After adding stripes also if you have hot spot what to do?
282. What is threshold voltage? How it affect timing?
283. What is content of lib, lef, sdc?
284. What is meant my 9 track, 12 track standard cells?
285. What is scan chain? What if scan chain not detached and reordered? Is
it compulsory?
286. What is setup and hold? Why there are ? What if setup and hold
violates?
287. In a circuit, for reg to reg path ...Tclktoq is 50 ps, Tcombo 50ps,
Tsetup 50ps,
288. tskew is 100ps. Then what is the maximum operating frequency?
289. How R and C values are affecting time?
290. How ohm (R), fared (C) is related to second (T)?
291. What is transition? What if transition time is more?
292. What is difference between normal buffer and clock buffer?
293. What is antenna effect? How it is avoided?
294. What is ESD?
295. What is cross talk? How can you avoid?
296. How double spacing will avoid cross talk?
297. What is difference between HFN synthesis and CTS?
298. What is hold problem? How can you avoid it?
299. For an iteration we have 0.5ns of insertion delay and 0.1 skew and for
other iteration 0.29ns insertion delay and 0.25 skew for the same circuit
then which one you will select? Why?
300. What is partial floor plan?

Potrebbero piacerti anche