Sei sulla pagina 1di 33

UNIVERSIDAD MAYOR DE SAN ANDRES

FACULTAD DE INGENIERIA
CARRERA DE INGENIERIA ELECTRONICA
TUTORIAL QUARTUS II
DISEÑO USANDO VHDL

 CREACIÓN DE NUEVO PROYECTO.


 INGRESO DE DISEÑO UTILIZANDO
CÓDIGO VHDL.
 COMPILACIÓN.
 ASIGNACIÓN DE PINES.
 SIMULACIÓN.
1.- CREACIÓN DE NUEVO PROYECTO.

Iniciar Quartus II y Seleccionar


seleccionar nuevo proyecto File>New Project Wizard
Hacer clic en Next Establecer un directorio de trabajo
Agregar archivos al proyecto Elija la familia de dispositivos
si los hay, pero para este caso Cyclone II y el componente FPGA
Solo hacer clic en Next EP2C35F672C6, luego click en Next
El diseño se basa en Quartus II Presione Finish
No elegir ninguna herramienta
Presione Next
2.- INGRESO DE DISEÑO UTILIZANDO CÓDIGO
VHDL.

Ejemplo: Crear un circuito A1 A0 B1 B0 GT LT EQ


comparador para comparar 0 0 0 0 0 0 1

la magnitud de dos números 0 0 0 1 0 1 0

de dos bits (A1 A0 and B1 B0). 0 0 1 0 0 1 0


0 0 1 1 0 1 0
El circuito tendrá tres señales
0 1 0 0 1 0 0
de salida: GT, LT, y EQ.
0 1 0 1 0 0 1
GT estará en “1” si A>B. 0 1 1 0 0 1 0
LT estará en “1” si A<B. 0 1 1 1 0 1 0
EQ estará en “1” si A=B. 1 0 0 0 1 0 0
1 0 0 1 1 0 0
Solución: 1 0 1 0 0 0 1

Sea la siguiente tabla de 1 0 1 1 0 1 0

verdad que muestra las 1 1 0 0 1 0 0


1 1 0 1 1 0 0
funciónes de las tres
1 1 1 0 1 0 0
señales de salida.
1 1 1 1 0 0 1
CÓDIGO VHDL
PARA EL
COMPARADOR
DE DOS BITS.
Seleccione: File>New (Ctrl+N)
Elegir la opción VHDL File
EDITOR DE TEXTO DE QUARTUS II
Seleccione: File>Save As (Ctrl+N)
Introducir el nombre del archivo: comparador_2_bits
Elegir la opción VHDL File (Quartus II añadirá la extensión .vhd )
Activar la casilla Add file to current proyect
ADICIONANDO ARCHIVOS DISEÑADOS PARA EL PROYECTO
Seleccionar Assignments>Settings (Ctrl+Shift+E)
Hacer clic en el item Files
Resaltar el archivo comparador_2_bits , hacer clic en Add y luego Ok.
3.- COMPILACIÓN.
Seleccione: Processing>Start>Start Analysis & Syntesis (Ctrl+K)
La compilación satisfactoria (o insatisfactoria) se indica en un cuadro
de aparición instantánea, responder haciendo clic en Aceptar.

El error que indica el informe de compilación es que la ENTIDAD NO


ESTA DEFINIDA => EL NOMBRE DEL PROYECTO DEBE SER EL MISMO
QUE EL NOMBRE DE LA ENTIDAD.
Para corregir este error podemos cambiar el nombre de la entidad en el
código VHDL.
Luego guardamos el archivo (Ctrl+S) y compilamos nuevamente (Ctrl+K)
La compilación indica que es satisfactoria y que no tiene errores,
luego hacer clic en aceptar.

Nota.- Si usted tiene un error de sintaxis


Hacer doble clic en el mensaje de error, luego la ubicación
correspondiente se resaltará en el archivo de diseño, realice las
correcciones necesarias y compile nuevamente.
Revisar el informe de compilación
Se puede abrir eligiendo Processing>Compilation Report (Ctrl+R)
PARA VER LAS EXPRESIONES LOGICAS SINTETIZADAS
Seleccione: Tools>Options>General>Processing
Activar la casilla Automatically generate equation files during compilation
Volver a compilar y para ver las ecuaciones sintetizadas en el informe de
compilación hacer clic en + Analysis y Synthesis y luego en Equations
ASIGNAMIENTO DE PINES: Seleccione : Asignments>Pins
Asignamiento de pines para los
interruptores de conmutación Asignamiento de pines para los LEDs
Para asignar un Pin específico a cada señal se debe hacer clic
en la columna “location “ para cada señal.

A1 estará conectado al PIN_AE14 que corresponde al toogle switch[3]


A0 estará conectado al PIN_P24 que corresponde al toogle switch[2]
B1 estará conectado al PIN_N26 que corresponde al toogle switch[1]
B0 estará conectado al PIN_N25 que corresponde al toogle switch[0]
GT estará conectado al PIN_AB21 que corresponde al Led Red[2]
LT estará conectado al PIN_AF23 que corresponde al Led Red[1]
EQ estará conectado al PIN_ AE23 que corresponde al Led Red[0]
RECOMPILACION DEL PROYECTO CON ASIGNACIONES DE PINES
Para cambiar los resultados de la compilación usando nuestras
asignaciones de pines, volver a compilar el proyecto (Ctrl+K).
4.- SIMULACIÓN.
CREAR UN ARCHIVO DE ENTRADA PARA EL SIMULADOR
Selecione: File>New>Vector Waveform File
Guardar el archivo con el nombre: diagrama_tiempos.vwf
Especificar un tiempo final : Edit>End Time e introduzca 100ms
Mostrar todo el limite de simulación: View>Fit in Window (Ctrl+W)
Especificar un tamaño de grilla: Edit>Grid Size e introduzca 10ms
Seleccionar entradas y salidas para el proyecto de simulación
usando: Edit>Insert>Insert Node or Bus
CREAR FORMAS ONDA DE ENTRADA DESEADAS PARA LA SIMULACION
Haciendo un clic en el boton + de un grupo de las entradas, veremos
las señales individuales para el grupo seleccionado.

Guardar el archivo diagrama_tiempos.vwf


EJECUCION DE LA SIMULACION
Simulacion Funcional: se utiliza para comprobar la exactitud del circuito.
Seleccione: Assignments>Settings (Ctrl+Shift+E). Hacer clic en
Simulator Setting y seleccionar Functional como modo de simulación.
Para completar la configuración del simulador:
Seleccionar: Processing>Generate Functional Simulation Netlist
La ejecución de la simulación empieza seleccionando:
Processing>Start Simulation (Ctrl+I)
Simulacion Temporal: se utiliza para comprobar la exactitud del circuito
y los retardos de propagación del circuito.
Seleccione: Assignments>Settings (Ctrl+Shift+E). Hacer clic en
Simulator Setting y seleccionar Timing como modo de simulación.
Para completar la configuración del simulador:
Seleccionar: Processing>Generate Functional Simulation Netlist
La ejecución de la simulación empieza seleccionando:
Processing>Start Simulation (Ctrl+I)
YUSPAJARA

Potrebbero piacerti anche