Sei sulla pagina 1di 21

1

2
3
4

7
8
9
10 DISEÑO DE UN MODULO ENTRENADOR PARA LA
11 TARJETA DIGILAB II DE XILINX
12
13
14 (Module design of a coach for card Digilab II Xilinx)
15
16 Moreno, Joel A; Quintero Ch, Franklin J; Ramirez C, Lenny D.
17
18 Laboratorio de Instrumentación, Control y Automatización, Decanato de
19 Investigación, Universidad Nacional Experimental del Táchira, San Cristóbal, Estado
20 Táchira, Venezuela
21
22 Correo Electrónico: jamoreno@unet.edu.ve, franklin.quintero@unet.edu.ve,
23 lenny.ramirez@unet.edu.ve
24
25
26
27
28
29
30
31
32
33
34
35
36
37 RESUMEN
38

39 En este artículo se presenta el hardware desarrollado para apoyar la metodología de


40 estudio de los dispositivos lógicos digitales, a través de las tarjetas de desarrollo para
41 las FPGA (Disposición de puertas programables de campo), por medio del software
42 ISE WEBPACK 10.1 de Xilinx, el cual permite generar la circuitería y las secuencias
43 lógicas para implementar diseños. Se desarrolló un módulo de entrenamiento para
44 acoplar los diferentes periféricos de entradas y salidas a la tarjeta digilab II, la cual
45 establece comunicación directa con la FPGA xc2s200 de Xilinx. El modulo
46 entrenador está compuesto básicamente por cuatro sub-módulos, alimentación y
47 regulación de voltaje, generador de señales, visualización e identificación de
48 parámetros así como también de entradas y salidas de estímulos. La investigación
49 realizada es de tipo descriptiva y experimental, la cual consistió en el análisis
50 documental e implementación del módulo. El modulo entrenador permite facilitar el
51 aprendizaje y conocimiento de las FPGA, por medio de un proceso de simulación e
52 implementación de diseño de forma completa y sintetizada.
53
54 Palabras claves: FPGA, ISE WEBPACK, Módulo de entrenamiento. Xilinx
55
56
57 ABSTRACT
58
59 This article describes the hardware developed to support the methodology of study of
60 digital logic devices is presented, through the development boards for FPGA
61 (programmable gate field), through the ISE WebPACK 10.1 of Xilinx software,
62 which allows generating circuitry and logic to implement designs sequences. training
63 module was developed to attach peripherals different inputs and outputs to digilab II
64 card, which establishes direct communication with the FPGA Xilinx XC2S200. The
65 trainer module is basically composed of four sub-modules, power and voltage
66 regulation, signal generator, visualization and parameter identification as well as
67 inputs and outputs of stimuli. The research is descriptive and experimental type,
68 which consisted of documentary analysis and implementation of the module. The
69 trainer module allows facilitating learning and knowledge of FPGA, through a
70 simulation process design and implementation are fully and synthesized.
71
72 Keywords: FPGA, ISE WEBPACK, Module.
73
74
75
76
77 INTRODUCCIÓN

78 En la actualidad el desarrollo de dispositivos programables de alta capacidad

79 en conjunto con la programación y las herramientas de diseño asociadas a estos

80 dispositivos han permitido que hoy en día, sea relativamente fácil implementar

81 sistemas digitales de baja o alta complejidad sobre ellos, sin embargo, muchas

82 aplicaciones lógicas requieren mayor flexibilidad que las ofrecidas por Memoria de

83 solo lectura (PROMS). Los dispositivos lógicos programables conocidos como FPGA

84 (Arreglo de puertas lógicas programables) logran capacidades equivalentes a n-simas

85 puertas lógicas por dispositivo y pueden incluir componentes de alta complejidad

86 como memorias tipo RAM o ROM, microprocesadores, entre otros. Los dispositivos

87 lógicos programables han hecho un aporte significativo en el proceso de diseño de los

88 sistemas digitales, partiendo de una descripción verbal del problema y del diseño de

89 la solución, además se pueden realizar simulaciones que permiten comprobar la

90 funcionalidad y las especificaciones del diseño. Para esto, es necesario conocer y

91 manejar las herramientas de diseño disponibles en el mercado, una de las empresas

92 que suministran estas herramientas son Altera y Xilinx, los cuales disponen de

93 entornos gratuitos que incluye todas las etapas de un proceso de diseño digital

94 complejo, una de las herramientas disponibles es el ISE WEBPACK el cual

95 proporciona diferentes utilidades para implementar un proyecto, e incluye las

96 herramientas de traslado de diseño a una FPGA. El proceso de diseño finaliza con la

97 síntesis y programación del dispositivo seleccionado para la verificación funcional de

98 la aplicación. Para programar estos dispositivos lógicos es necesario emplear


99 lenguajes de descripción, esto implica que se pueden describir circuitos sincrónicos y

100 asincrónicos con tan solo conocer su sintaxis, permitiendo determinar así los

101 problemas de diseño antes de su implementación física. Uno de los lenguajes

102 empleados es el VHDL (Lenguaje de descripción de hardware), que es una

103 herramienta que permite trabajar en el ordenador admitiendo perfectamente simular el

104 comportamiento lógico de un circuito, sin que el programador se imponga

105 restricciones. Con tan solo ejecutar el código e implementando las reglas básicas, este

106 lenguaje de programación daría resultados específicos sobre la descripción del

107 circuito.

108 Los dispositivos lógicos poseen la ventaja de tener un puerto JTAG (Acción

109 conjunta de pruebas) el cual es un estándar de la IEEE (Instituto de ingenieros

110 eléctricos y electrónicos) que permite tanto la configuración del circuito integrado

111 como la posibilidad de verificar su funcionamiento sin necesidad de utilizar equipo de

112 mediciones.

113 Este (trabajo proyecto, aportación, ), apoya el diseño y simulación de

114 circuitos digitales básicos en el entorno integrado de descripción y simulación de

115 Xilinx, a través de un módulo entrenador que dispone de bancos de entradas y salidas

116 de estímulos, con el objetivo final de implementar y reemplazar las prácticas de

117 sistemas digitales desarrolladas en lógica TTL (Lógica transistor a transistor).

118

119

120
121 MÉTODO

122 Para el desarrollo e implementación del módulo entrenador se utilizaron

123 software especializado como Proteus para el diseño de los cuatro sub- módulos.

124 A. DISEÑO DE LOS SUB-MODULOS

125 A.1 Fuente de regulación de voltaje.

126 Este módulo está constituido por dos fuentes de tensión continua de 9V y 5V,

127 cada una de ellas está compuesta por una etapa de rectificación, filtrado y regulación

128 (Gómez, 2007).

129 Etapa de rectificación: se lleva a cabo mediante el uso de un transformador y

130 un puente rectificador, el cual produce una señal de bajo voltaje de C.C a partir de la

131 señal de C.A de alimentacion.

132 Etapa de filtrado: esta sección tiene como fin suavizar la onda que sale de la

133 etapa de rectificación, para eliminar la ondulación y dejar la tensión lo más continúa

134 posible, para ello se filtra la señal utilizando condensadores en paralelo.

135 Etapa de regulación: la función del regulador de voltaje es convertir un

136 voltaje de C.D a la entrada a un voltaje de C.D. de un valor específico y mantenerlo

137 independientemente de las condiciones de carga que se le presenten. Esta etapa está

138 conformada por los reguladores LM7805 y LM7809, los cuales proveen cinco voltios

139 y nueve voltios respectivamente.

140 En la figura 1 se muestra el circuito esquemático de la fuente de regulación de

141 voltaje, los conectores J3 y J4 proveen 5V y 9V respectivamente a los módulos de

142 acoplamiento.
143
144 Figura 1. Circuito esquemático de la fuente de regulación de voltaje.

145 A.2 Generador de señales

146 Este módulo está diseñado con un temporizador integrado LM555, en modo

147 de funcionamiento astable (Tocci, 2007), cuya función primordial es la de producir

148 pulsos de temporización, permitiendo un barrido de frecuencia. La señal cuadrada

149 tendrá como valor alto Vcc y como valor bajo 0V (aproximadamente). El módulo

150 dispondrá de dos generadores, de frecuencias variables, ya que la tarjeta Digilab II

151 posee pos pines dedicados al reloj (CLK). La señal de salida tendrá un nivel alto por

152 un tiempo T1 y un nivel bajo por un tiempo T2, los cuales variaran de acuerdo a los

153 valores de R1, R2 y C1. En este modo de operación se genera una señal cuadrada

154 oscilante de frecuencia: F = 1/T = F=1.44 / [C*(Ra+2*Rb)]. Los tiempos de niveles

155 altos y bajos se pueden ajustar bajo las siguientes formulas:

156 Salida a nivel alto: T1 = 0.693*(Ra+Rb)*C

157 Salida a nivel bajo: T2 = 0.693*Rb*C.


158 En la figura 2 se muestra el circuito esquemático del generador de señales, la

159 salida del generador de pulso es conectada la interfaz por medio de los conectores J5

160 y J6.

161
162 Figura 2. Circuito esquemático del generador de pulsos.
163 A.3 Visualización e identificación de parámetros

164 El módulo lo conforman un banco de leds, un banco de displays siete

165 segmentos, y un convertidor digital análogo, los cuales permiten visualizar la salida

166 de programación de la FPGA.

167 Banco de leds: Se conecta un banco de ocho leds, a la FPGA por medio de la

168 interfaz, cada uno de estos leds será iluminado individualmente dependiendo de la

169 programación del usuario. En la figura 3 se muestra el circuito esquemático del banco

170 de leds, el cual es conectado por medio del conector J7 a la interfaz de acoplamiento

171 del módulo.


172

173 Figura 3. Circuito esquemático del Banco de leds.

174 Banco de displays siete segmentos: el módulo dispone de un banco de cuatro

175 displays siete segmentos. El banco es cátodo común (lógica directa). Cada dígito

176 comparte ocho líneas de control para iluminar cada uno de los siete segmentos del

177 dígito junto con el punto decimal. Estas líneas se gestionan desde ocho pines de

178 usuario de la FPGA. En la figura 4 se muestra el circuito esquemático del banco de

179 displays, el cual es conectado por medio del conector J8 a la interfaz de

180 acoplamiento del módulo.

181

182 Figura 4. Circuito esquemático del Banco de displays.

183 Convertidor digital análogo: está conformado por un dispositivo DAC0808,

184 el cual se encarga de convertir la señal de entrada digital de siete bits proveniente de
185 la FPGA en una señal analógica. Cada una de las combinaciones binarias de la

186 entrada son convertidas y luego acondicionas por el LM741 para ser mostradas en

187 una tensión de salida. En la figura 5 se muestra el circuito esquemático del

188 convertidor digital a análogo, la señal de entrada proveniente de la FPGA es recibida

189 por J9, los datos binarios son convertidos y enviados a el banco de acoplamiento por

190 J10.

191

192 Figura 5. Circuito esquemático convertidor digital a análogo.

193 A.4 Entrada de estímulos

194 El módulo permite enviar una instrucción de encendido o apagado a la FPGA

195 mediante acciones simples, como la de presionar un pulsador o cambiar un interruptor

196 de un estado a otro. Este módulo contiene un banco de pulsadores, un banco de

197 interruptores, un teclado matricial y un convertidor análogo digital.

198 Banco de pulsadores: incluye en la placa un conjunto de cuatro botones

199 pulsadores, conectados a cuatro pines de usuario de la FPGA, estos pulsadores

200 permiten el paso o interrupción de valores lógicos a la entrada de la tarjeta. En la


201 figura 6 se muestra el circuito esquemático del banco de pulsadores, el cual es

202 conectado por medio del conector J11 a la interfaz de acoplamiento del módulo.

203

204 Figura 6. Circuito esquemático del Banco de pulsadores.

205 Banco de interruptores: incluye en la placa un conjunto de cuatro

206 interruptores, conectados a cuatro pines de usuario de la FPGA. En la figura 7 se

207 muestra el circuito esquemático del banco de interruptores, el cual es conectado por

208 medio del conector J12 a la interfaz de acoplamiento del módulo.

209
210 Figura 7. Circuito esquemático del Banco de interruptores.
211 Teclado matricial: Esta placa cuenta con un teclado matricial de 4x4,

212 requiriendo cuatro pines de la FPGA para el control de las filas y otros cuatro pines

213 para el de las columnas. Esta matriz de pulsadores permite introducir datos a la

214 tarjeta, de forma tal que luego puedan ser interpretados e implementados en diferentes
215 aplicaciones prácticas. En la figura 8 se muestra el circuito esquemático del teclado

216 matricial, el cual es conectado por medio del conector J13 a la interfaz de

217 acoplamiento del módulo.

218
219 Figura 8. Circuito esquemático del teclado matricial

220 Convertidor análogo digital: está conformado por el dispositivo ADC0808,

221 el cual es capaz de convertir una entrada analógica de voltaje en un valor binario. El

222 valor en binario se utiliza como entrada a uno de los pines de la FPGA según el

223 requerimiento del usuario. En la figura 9 se muestra el circuito esquemático del

224 convertidor analógico digital, el cual es conectado por medio del conector J15 a la

225 interfaz de acoplamiento del módulo.

226
227 Figura 9. Circuito esquemático del convertidor analógico digital.
228 A.5 Interfaces de acoplamiento

229 Para utilizar la tarjeta de desarrollo Digilab II de la Xilinx, se implementó un

230 sistema de interfaz que permite la comunicación entre los módulos y dicha tarjeta de

231 desarrollo. El dispositivo reprogramable se fabricó utilizando tecnología CMOS, por

232 lo tanto, los puertos de entrada y salida manejan corrientes muy bajas en el rango de

233 los miliamperios (mA) y voltajes de 3.3V. Para el diseño de la interfaz se consideró la

234 corriente del puerto, el voltaje que maneja el dispositivo, y el hardware que se

235 implementó. La información necesaria de los puertos de entrada y salida se obtuvo

236 de la hoja de datos del dispositivo lógico.

237 Para los circuitos de las interfaces se utiliza optoacopladores 4N37 los cuales

238 permite el aislamiento eléctrico hacia los módulos de entrada y salida de la Digilab II.

239 Si la tensión de entrada varía, la cantidad de luz también lo hará, produciendo que la

240 tensión de salida cambie de acuerdo con la tensión de entrada.

241 Las interfaces de entrada se alimentan con una fuente externa de 5V, la cual

242 provee energía a los led de los optoacopladores, estos saturan el transistor

243 produciendo un cambio de estado del nivel lógico de la entrada de la FPGA.

244 Para las interfaces de salida, la FPGA envía una señal de 3.3V para un alto y

245 0V para un bajo. La corriente de salida es controlada por medio de un resistor el cual

246 protege el puerto de la FPGA de una demanda de corriente mayor exigida por el led

247 de acoplamiento. Una vez energizado, el led satura el transistor, permitiendo que la

248 tensión de alimentación externa circule por los dispositivos de visualización, de esta

249 manera se controla el encendido o apagado de los mismos.


250 En las siguientes tablas se especifican cada uno de los pines asignados de la

251 tarjeta Digilab II hacia los diferentes submodulos del entrenador

252 Tabla 1. Pines de las entradas de reloj


Señal Pin S-II
Clk 0 185
Clk 1 182
253
254 Tabla 2. Pines del banco de leds
Señal Pin S-II
Led 0 181
Led 1 180
Led 2 179
Led 3 178
Led 4 176
Led 5 175
Led 6 174
Led 7 173
255
256 Tabla 3. Pines del banco de displays
Señal Pin S-II Señal Pin S-II Señal Pin S-II Señal Pin S-II
Seg. A0 70 Seg. A1 59 Seg. A2 44 Seg. A3 33
Seg. B0 69 Seg. B1 58 Seg. B2 43 Seg. B3 31
Seg. C0 68 Seg. C1 57 Seg. C2 42 Seg. C3 30
Seg. D0 67 Seg. D1 49 Seg. D2 41 Seg. D3 29
Seg. E0 63 Seg. E1 48 Seg. E2 37 Seg. E3 27
Seg. F0 62 Seg. F1 47 Seg. F2 36 Seg. F3 24
Seg. G0 61 Seg. G1 46 Seg. G2 35 Seg. G3 23
P.D. 60 P.D. 45 P.D. 34 P.D. 22

257

258

259

260
261 Tabla 4. Pines del convertidor digital análogo
Señal Pin S-II
D0 21
D1 20
D2 18
D3 17
D4 16
D5 135
D6 134
D7 133
262
263 Tabla 5. Pines del banco de pulsadores
Señal Pin S-II

Pul 0 165
Pul 1 164
Pul 2 163
Pul 3 162

264
265 Tabla 6. Pines del banco de interruptores
Señal Pin S-II
Int 0 172
Int 1 168
Int 2 167
Int 3 166
266 Tabla 7. Pines del teclado matricial
Señal Pin S-II
Col 1 161
Col 2 160
Col 3 154
Col 4 152
Fil 1 151
Fil 2 150
Fil 3 149
Fil 4 148
267 Tabla 8. Pines del convertidor análogo digital
Señal Pin S-II
A0 147
A1 146
A2 142
A3 141
A4 140
A5 139
A6 138
A7 136
268
269 A.6 Fabricación de los circuitos impresos

270 Por medio del uso del programa Proteus 7.7, se realizaron las tarjetas de

271 circuito impreso (PCB) para conectar eléctricamente cada uno de los componentes

272 electrónicos. Las etapas realizadas para completar la construcción de los PCB son:

273 Patrones: los impresos se realizaron adhiriendo las pistas a la placa de cobre,

274 la impresión se realizó en material termo sensible para luego ser transferida a la placa

275 a través del calor, el cobre excedente es eliminado por medio del proceso de atacado

276 químico.

277 Atacado: el atacado de la placa de cobre se realizó utilizando cloruro férrico,

278 que es un ácido corrosivo el cual permite eliminar el cobre excedente en el diseño

279 transferido en la baquelita.

280 Perforado: la herramienta utilizada para este objetivo fue el Moto Tool, la

281 cual es una herramienta giratoria a varias velocidades, que permitió la perforación de

282 la baquelita. Las perforaciones del circuito impreso se realizaron utilizando pequeñas

283 brocas de 1mm, 0.9mm y 0.8mm, de acuerdo al requerimiento de cada PCB.


284 Estañado: los componentes electrónicos se fijaron en los pads y las

285 superficies con soldadura de plomo-estaño, esto permitió completar la comunicación

286 de las pistas de cobre con cada componente para implementar el buen funcionamiento

287 de cada módulo.

288 Montaje: luego de que en las baquelitas se fijaron cada uno de los

289 componentes se procedió a acoplar las interfaces con sus respectivos módulos, estas

290 se fijan eléctricamente cada una de las tarjetas por medio de comunicación con cable

291 MB100G-100.

292 RESULTADOS

293 La pruebas y verificación se comprobó con el funcionamiento de cada uno de

294 los componentes ensamblados en los módulos, verificando conexiones a tierra,

295 alimentación, soldaduras frías, pistas abiertas, cortos circuitos, entre otros.

296 Finalmente se alimentaron los módulos para comprobar la conectividad de la tarjeta.

297 En la figura 10 se muestra el módulo de visualización de banco de leds.

298
299 Figura 10. Módulo de visualización de banco de leds.

300 En la figura 11 se muestra la distribución de las tarjetas en el módulo


301 entrenador
302
303 Figura 11. Distribución de las tarjetas en el módulo entrenador.

304 En la figura 12 se muestra el modulo entrenador para la tarjeta digilab II de


305 Xilinx

306
307 Figura 12. Módulo entrenador para la tarjeta digilab II de Xilinx

308 El entrenador se utiliza tanto con el software ISE WEBPACK 10.1 de Xilinx,
309 el cual permite el desarrollo de las prácticas de forma tal que se puedan diseñar
310 circuitos digitales mediante esquemas lógicos o utilizando lenguajes de descripción
311 de hardware como VHDL. Una vez compilados los diseños se puede visualizar su
312 salida o entrada de estímulos en el módulo entrenador.
313 Se desarrollaron programas básicos para comprobar el funcionamiento de los

314 módulos como: Un decodificador BCD a Hexadecimal, un Decodificador de

315 multiplexado de 3 a 8 bits, una compuerta AND, un Convertidor Análogo/Digital, un

316 Contador binario de 4 bits y un contador de 16 bits.


317 Para el caso de un Decodificador BCD a hexadecimal. El programa es

318 diseñado en lenguaje VHDL, y permite decodificar el valor en BCD el cual es

319 introducido a la FPGA por medio de los interruptores disponibles en el módulo y

320 visualizados en el banco de displays siete segmentos. Las entradas y las salidas están

321 configuradas como vector. La arquitectura de VHDL se muestra a continuación:

322 library IEEE;


323 use IEEE.STD_LOGIC_1164.ALL;
324 use IEEE.STD_LOGIC_ARITH.ALL;
325 use IEEE.STD_LOGIC_UNSIGNED.ALL;
326 entity siete is
327 Port ( x : in std_logic_vector(3 downto 0);
328 z: out std_logic_vector(7 downto 0)
329 );
330 end siete;
331
332 architecture Behavioral of siete is
333 begin
334 process(x)
335 begin
336 case x is
337 when "0000" => z<="00111111"; --0
338 when "0001" => z<="00000110"; --1
339 when "0010" => z<="01011011"; --2
340 when "0011" => z<="01001111"; --3
341 when "0100" => z<="01100110"; --4
342 when "0101" => z<="01101101"; --5
343 when "0110" => z<="01111100"; --6
344 when "0111" => z<="00000111"; --7
345 when "1000" => z<="01111111"; --8
346 when "1001" => z<="01100111"; --9
347 when "1010" => z<="11110111"; --A
348 when "1011" => z<="11111111"; --B
349 when "1100" => z<="10111001"; --C
350 when "1101" => z<="10111111"; --D
351 when "1110" => z<="11111001"; --E
352 when "1111" => z<="11110001"; --F
353 when others => z<="00000000";
354 end case;
355 end process;
356 end Behavioral;
357

358
359 CONCLUSIONES

360 Debido a las nuevas plataformas de computación disponibles es de gran

361 importancia el desarrollo de herramientas que orienten a los usuarios en el uso

362 adecuado de las tecnologías lógicas programables para aprovechar mejor sus ventajas.

363 El desarrollo del módulo entrenador para la tarjeta digilab II de xilinx a nivel

364 didáctico va a permitir que el proceso de aprendizaje de las asignaturas en sistemas

365 digitales de las diferentes carreras en ingeniería electrónica, informática y TSU

366 electromedicina, puedan realizar diseños digitales a diferentes niveles de complejidad

367 logrando mayor efectividad y además metodológicamente contribuye con el proceso

368 de enseñanza.

369 El software ISE de Xilinx es una herramienta computacional eficiente, de gran

370 alcance, de múltiples funciones de desarrollo y fácil manejo, lo cual lo hace un

371 recurso significativo al momento de implementar un diseño sobre FPGA.

372 Los módulos que se desarrollaron pueden emplearse en aplicaciones distintas

373 que requiera el usuario: procesamiento de datos, lectura de datos, tratamiento de

374 señales digitales, etc.

375 El desarrollo de programas básicos en lenguaje de descripción de hardware

376 (VHDL) permitió comprobar de manera satisfactoria los circuitos de hardware

377 diseñado para la tarjeta digilab II de xilinx.

378 El ahorro en la elaboración de aplicaciones es notorio, siempre que se tenga

379 conocimientos básicos a la descripción de hardware y a los fundamentos de sistemas


380 digitales. Las aplicaciones de diseño son básicamente iguales a los desarrollo de

381 hardware convencionales.

382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422 REFERENCIAS BIBLIOGRAFICAS
423
424 CASAMAYOR, E. Metodología de síntesis para el uso de bloques DPS con HDL
425 sobre FPGAs. [Documento en línea]. Disponible en: http://eprints.ucm.es/13515/.
426 2011.
427 DIGILENT. [Documento en línea]. Disponible en:
428 http://www.digilentinc.com/Products/Detail.cfm?Prod=D2.2012
429 DIGILENT [Documento en línea]. Disponible en:
430 http://www.digilentinc.com/Support/Support.cfm.2012.
431 FLOYD, T. Fundamentos de Sistemas Digitales. Madrid, España. Prentice Hall.
432 2000.
433 GOMEZ, M. Electrónica General. (1era ed.).Madrid, España. Alfaomega. 2007.
434 IBERCHIP.NET. Norma IEEE 1149.1 (Boundary-Scan Test). [Documento en línea].
435 Disponible en: http://www.iberchip.net/VII/cdnav/pdf/62.pdf.2000.
436 JTAGTECHNOLOGIES. [Documento en línea]. Disponible en:
437 http://www.jtag.com/. 2012.
438 MAXFIELD, C. The Design Warrior´s Guide to FPGAs. [Documento en línea].
439 Disponible en:
440 http://books.google.co.ve/books?id=dnuwr2xOFpUC&dq=Fpga%2BDesign&ie=I
441 SO-8859-1&source=gbs_gdata&redir_esc=y. 2004
442 MENTOR.COM. Implementación a FPGAs. [Documento en línea]. Disponible en:
443 http://www.mentor.com/products/fpga/handel-c/dk-design-suite/upload/dk-ds.pdf.
444 2010.
445 MORRIS, M. Diseño digital (Tercera edición). México. Ed. PEARSON.2003.
446 TOCCI, R ; WIDMER, N y MOSS, G. Sistemas digitales principios y aplicaciones
447 (Décima edición). México. Ed. PEARSON.2007.
448 XILINX. [Documento en línea]. Disponible en:
449 http://www.xilinx.com/products/design-tools/ise-design-suite/ise-webpack.htm.
450 2012.
451 XILINX. [Documento en línea]. Disponible en:
452 http://www.xilinx.com/support/documentation/dt_ise13-4.htm.2012
453 XILINX. [Documento en línea]. Disponible en:
454 http://www.xilinx.com/support/documentation/data_sheets/ds001.pdf. 2012.
455 XILINX. [Documento en línea]. Disponible en:
456 http://www.xilinx.com/support/techsup/tutorials/. 2012.
457
458
459
460
461
462

Potrebbero piacerti anche