Sei sulla pagina 1di 69

1 Historical Review of Manufacturing Science and Semiconductor Fabrication

"Those who cannot learn from history are doomed to repeat it "
George Santayana [1863-1952]; american philosopher and poet
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 1
1 Historical Review of Manufacturing Science and Semiconductor Fabrication

1.1 Introduction

1.2 Historical Production Models

1.3 Semiconductor Fabrication

1.4 Semiconductor Economics

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 2
1.Historical Review
1.1 Intro Working Field of Semiconductor Manufacturing

IC Manufacturing Technology

is a systematical description of the basic behavior of a semiconductor fab

Through-put
Market Capacity Planning (Durchsatz) Technological
(Kapazitätsplanung) Ability
Cycle Time
(Durchlaufzeiten)
Process Control and Yield Logistics
(Prozeßkontrolle und Ausbeute)
Bottlenecks
(Engpässe)
Schedule stability
(Liefertreue) Inventory Control
(Lagerbestandshaltung)

an understanding of this behavior qualifies engineers and manager to use the system internal characteristics
1. to recognize possibilities of improvement in existing fabs
2. to detect better and more efficient systems
3. to achieve an optimum trade-off of wisdoms out of various fields for the own needs
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 3
1.Historical Review
1.1 Intro Increase in Productivity

Is there a benefit dealing with production theory ? Or is it just an academic fun ?

An example:

Inventions change societies and their working places


The age, where a lot of people worked in farming,
Information seems passed
Industrial Communication
Agrar Society Society Numbers: 1930 1950 1985
Society Services
Society Labor in agriculture 30 % 3%

time Labor in fabs 50 % 20 %

steam engine steel electricity oil computers


cotton trains chemistry cars networks
mobile phone
anyhow:
- in agriculture the capacity remains the same
multimedia ? (same amount of people consume the same)
1800 1850 1900 1950 2000 2050 year
- in the fabs the capacity increases
( more products per time)
1. Kondratieff 2. Kondratieff 3. Kondratieff 4. Kondratieff 5. Kondratieff 6. Kondratieff

-> there is an increase of productivity


-> manufacturing technology is the tool to understand and increase productivity

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 4
1.Historical Review
1.1 Intro
Increase in Productivity

What is the mainspring of increase in productivity ?

Always there exist someone, who is faster, better, cheaper and sells more and earns more
and displaces established producers from the market.

Unsolved problem: the increase in productivity may be a double-bladed sword

- "saved" people may result in unemployment


- or be employed in different, developing or independent sectors

"saved" farmers will be factory workers, who manufacture tractors or service providers (eg. truck drivers)

-> there is an impact outside the frame of a single company


-> there is a need of a governmental or global "Manufacturing Technology"

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 5
1 Historical Review of Manufacturing Science and Semiconductor Fabrication

1.1 Introduction

1.2 Historical Production Models

1.3 Semiconductor Fabrication

1.4 Semiconductor Economics

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 6
1 Historical Review Historical Manufacturing Environments
1.2 Production Models
Old World (Europe)

Absolutism, Guilds

New World (America) European Manufacturing Techniques

unlimited country complex dependency system of specialist


huge ressources
-> fabrication of single pieces
free minds

1st industrial revolution (steam engine + loom)


~1750
American Manufacturing Techniques first mass production,
but from specialists
1800 -1850

Mass production + interchangable parts


-> interchangable workers + managers

2nd industrial revolution


(coal + steel)
~1850
Japan
Mass storage systems
Mass distribution systems Limitation of ressources
Japanese manufacturing Techniques

"Global" integration after 1945

Just-In-Time
New requirements
-> new manufacturing techniques are neccessary -> flexible specialists + quality
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 7
1 Historical Review
1.2 Production Models
Historical Production Environments

Europe: guilds + home work

Family Shepherd Family Spinner Family Dyer Family Weaver Family Tailor
produces wool produces yarn dys yarn produces textiles produces clothes
tradesman tradesman tradesman tradesman
buy, transport, sell

Heinrich Hempel
Junge Frau zu Besuch beim Großenritter Schäfer (1928)

Die Spinnerin 1854


Jean-François Millet (1814 - 1875)

Twofold separatists: producers are sited on different places due to family ownership + fabrication of different goods
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 8
1 Historical Review Historical Manufacturing Environments
1.2 Production Models

First industrial revolution ~ 1750 (loom + steam engine)

- transfer to mass production


(but keeping the separation system),
- huge economical power increase

-> Smith: Theory of division of labor (~1760) ,


see http://en.wikipedia.org/wiki/Labor_theory_of_value

Historic Loom

Mass fabrication with steam engine and looms

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 9
1 Historical Review
1.2 Production Models
Historical Production Environments

America:

Vertical integration: Complete fabrication under one roof (since 1814)

reason: - no constraints due to guilds (not existing in the early US)


- huge, non-used water power resources (in Europe all creeks are occupied)
-> in these early days no need for site-free, but coal-needing steam engine
(no coal discovered in US)
-> missing ways and streets -> localization of specialists at one place

Mass production by exchange parts


1801 Whitney: pistols for the Army with exchangeable parts
-> "American System"

then 1840: discovery of coal fields -> 2nd industrial revolution


-> water independent power source (steam engine)
+ steel production (mobile steam engines (railroads -> transport)
-> building of factories all over the land
-> flooding of the market, America grows to a production giant

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 10
1 Historical Review
1.2 Production Models
Historical Production Environments

Historic pistol
- fabricated as one piece
- fabricated from one specialist
- long fabrication time
-> expensive products

Whitney Revolver

Mass production by exchange parts:


1801 Whitney: pistols for the Army with exchangeable parts
- "American System"
- many identical products
- fabricated from many workers screws
- short fabrication time for exchange
- cheap products

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 11
1 Historical Review
1.2 Production Models
Historical Production Environments

1865-1869: first transcontinental railroad (Union Pacific & Central Pacific)


- 27 Mill. USD invest
- each day 20´000 workers fabricated around 10 km rails

Mass production creates mass distribution systems and vice verse

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 12
1 Historical Review
1.2 Production Models Effects of Production Increase in the US

1. Exchange parts -> exchange workers -> creation of "workers" and "managers"
2. Mass production creates mass distribution systems and vice verse
- railroad trains distribute and need mass products
- wholesalers, department stores, mail-order companies
- promotion
- foundation of consortia to finance giant enterprises (railroad tracks)

3. the mass distribution systems needed new organsiation structures (manager classes)
+ cost calculation/accounting methods (e.g. delivery time per product, costs per tonmile)

4. (Carnegie, since 1870):


- emphasizing the vertical integration, additional buying of up- and downstream segments in the market:
product manufacturing under one roof changes to -> factory chain in one hand (coal and iron mines + steel factories + end products)
- Horizontal integration (buy-out of competitors)
Numbers of steel fabrication: England USA

1868 110´000 t 8´500 t


1879 200´000 t 200´000 t
1902 1´800´000 t 9´100´000 t
5. First production theories
- Carnegie: Production due to the material flow, "Concentrate on the costs, the earning will come alone"
- Ford: 1913 conveyor belt (bring the work to the man), time schedules for the manufacturing,
Production is all (but underestimation of model changing)
- Taylor: extensive investigations to optimize efficiency in production,
separation of the production process in single steps, which could be measured, optimized and (time)-schedules
Motivation systems "carrot and stick", distribution of responsibility (but did not work), Planning vs Doing
(Taylorism, Scientific Management, see http://en.wikipedia.org/wiki/Frederick_Winslow_Taylor )
- DuPont: company structures parallel to product spectra

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 13
1 Historical Review of Manufacturing Science and Semiconductor Fabrication

1.1 Introduction

1.2 Historical Production Models

1.3 Semiconductor Fabrication

1.4 Semiconductor Economics

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 14
1 Historical Review Current Transport:
1.3 Semiconductors From First Experiments to the Integrated Circuit
1752 Franklin: Lightning rod experiments
1798 Galvani: Frog´s leg experiments

Current transport in gases Applications Current transport in solid-states


1800 Volta: Battery
1806: Sömmering: electrical telegraph with wire connection

1826 Ohm: R=V / I


1831 Faraday: El.mag. induction
1833 Weber/Gauß: el.mag. telegraph with wire connection
1854 Goebel: electric bulb with carbon filament
1860 Plücker: current transport in gases 1860 Reis: telephon with wire connection
since 1860 Maxwell: theory of elmag.waves

1870 Hittdorf: deflection of current due to fields 1866 Siemens: Dynamo 1874 Braun: rectifying
-> current = neg. particles 1876 Bell: microphon-telephon with wire connection metal/semiconductor
1878 Edison: Phonograph contacts
1883 Edison: explanation of glow-emission
1886 Hertz: creation and proof of elmag waves
1887 Hertz/Hallwachs: Photo effect
1892 Wien: e/m
1895 Thomson: Identification of the electron 1895 Braun: cathode ray tube 1895 Popow: crystal detector
1895 Marconi: Telegraph with elmag.waves
1900 Planck: “quantum constant”
1905 Einstein: explanation of photo effect 1904 Fleming: discovery of rectifying effect in tube diode
1906 DeForest: tube triode
1913 Arnold: vacuum triode
Quantum Physics Telephon-Radio-Tube-Market

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 15
1 Historical Review
1.3 Semiconductors "First" Semiconductors

The mineral pyrite, or iron pyrite, is an iron sulfide with the formula FeS2. This mineral's metallic luster and
FeS2 pale-to-normal, brass-yellow hue have earned it the nickname fool's gold due to its resemblance to gold.
The color has also led to the nicknames brass, brazzle and brazil, primarily used to refer to pyrite found in
coal.
Pyrite is a natural semiconductor with a bandgap of 0.8 - 1.8 eV (depending on contamination).
During the early years of the 20th century, pyrite was used as a mineral detector in radio receivers, and is
still used by 'crystal radio' hobbyists. Until the vacuum tube matured, the crystal detector was the most
sensitive and dependable detector available- with considerable variation between mineral types and even
individual samples within a particular type of mineral. The most sensitive mineral was galena, which was
very sensitive also to mechanical vibration, and easily knocked off the sensitive point; the most stable were
perikon mineral pairs; and midway between was the pyrites detector, which is approximately as sensitive as
a modern 1N34A diode detector.

Galena is the natural mineral form of lead sulfide.


PbS It is the most important lead ore mineral.
Galena is one of the most abundant and widely distributed sulfide minerals.
It crystallizes in the cubic crystal system often showing octahedral forms.
Galena is a semiconductor with a small bandgap of about 0.4 eV
which found use in early wireless communication systems. For example,
it was used as the crystal in crystal radio sets, in which it was used as a
point-contact diode to detect the radio signals. The galena crystal was used
with a safety pin or similar sharp wire, which was known as a "cat's whisker".

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 16
1 Historical Review
1.3 Semiconductors The Tube Quarrel
1875: Foundation of AT&T (Bell) 1877: Foundation of Bell-Company
( Telephon interconnections) (fabrication of telephons) 1886: Foundation of Westinghouse Electric Company
(Electric light))

1887: Foundation of General Electric Company


(Electric light, power generators)

1887: Foundation of Marconi Wireless Telephone


1904: Fleming discovers tube-diode
1906: deForest invents tube-triode (-> rectification)
(with gas filling), -> amplification
1910: order for intercont. telephon cable
1913: AT&T buys deForest-patent
1913: Arnold develops optimized triode 1913: Langmuir: tube-triode
(vacuum, oxide-coated cathode, grid position) with vacuum + Hg-vapor + space charge theory

1919: GE takes over Marconi and founds with Westinghouse the RCA

1920: First tube quarrel

New
AT&T RCA
„Entertainment Broadcasting“ (Radio)
cable telegraphy/-telephony wireless telecommunication (mail, navigation)
( Using cable + wireless)
+ radio tubes in radio telephony + tube-based end devices

1922: 60´000 $US market


.....
The looser: AT&T 1925: Second tube quarrel The winner: RCA

1925: Foundation of Bell-Labs

New tubes Solid-state alternatives


1929: 850 Mill. $US market
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 17
1 Historical Review
1.3 Semiconductors The Tube Quarrel

The lesson to be learned:

The foundation of Bell-Labs was a strategic „must“


for a big player not to lose a big commercial market

Painting by Francis Barraud, 1899


"His Master´s Voice"

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 18
1 Historical Review Current Transport:
1.3 Semiconductors From First Experiments to the Integrated Circuit
Telephon-Radio-Tube-Market
Tube quarrel RCA <-> AT&T
1925 Presser: Selen rectifier
1925: Born, Schrödinger, Heisenberg: Quantum theory 1925: AT&T founded Bell-Labs
1926 Fermi, Dirac: Fermi-statistics 1926 Grondahl: Cu2O rectifier
1927: Pauli: Electron theory of metals Goal
1928 Sommerfeld: Quantum theory of solid-states 1928 Lilienfeld: Patent Line-IGFET
1929 Bloch: solution of SG-equation in periodical potential New tubes Solid-state alternatives
1929 Schottky: “Barrier layer" at metal/semicond boundary
Assumption of electrons and “holes”
1931 Schottky: theory of potential barrier
1931 Wilson: band model -> explanation of metals
semiconductors, isolators
1933 Tamm: electron states at surfaces
1934 Heil: proposal of surface-IGFET
1938 Mott: depletion theory of semiconductors
1938 Schottky: “surface layer theory", diffusion current
1938 Zuse: Computer Z1 using relais
1939USA: Computer MARK I using relais 1938 R.Pohl: „solid-state amplifier" in ion-crystal
(Potassium bromide KBr)
1939: Shockley: Surface states in period.potentials
War induced research 1942 Bethe: thermo-emission theory over barrier
* Radar + Computer

crystal detectors more suitable for mobile Radar detectors as tubes


(higher frequencies, low power)
disadvantage: fluctuating properties from device to device
* Purification of crystals * change Ge -> Si
1940: Ohl: p- and n-Doping, diodes
1945 Shockley: proposal for FET and failure for realization
1946 Bardeen: explanation of malfunction due to surface states
1947 Brattain, Bardeen, Shockley: discovery of Bipolartransistor (Ge-Point contact transistor)
1948: first public presentation of the transistor

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 19
1 Historical Review
1.3 Semiconductors The First Solid-State Amplifier: invented 1938 in Germany

Zeitschrift für Physik, 111 (1938) 399-408

"output current"
"Gate voltage"

Amplification:
20 - 100

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 20
1 Historical Review
1.3 Semiconductors The "First" Transistor

In 1947, during their investigations for the replacement of tubes the researcher Brattain, Bardeen und Shockley
discovered an amplification effect in the semiconductor material Germanium.

A small plastic triangle was wrapped with a gold foil and cut with
a razor blade at the tip.
On top two contacts were soldered.
The whole construction was pressed on a piece of Germanium (the base),
which was also contacted.
At both gold contacts the power supply was applied,
without allowing any current to flow.

Not until a bias was applied to the Germanium,


a current flowing between the gold contacts was observed,
which was about18 times larger than the applied current in the Germanium.

A tiny current amplifier was invented with variable transfer resistance


(transfer resistor)

The Transistor was invented

Transistors consumed immediately 100x less current than tubes


Transistors were immediately 100x smaller than tubes
Transistors were immediately 100x faster than tubes
Transistors were immediately 10x more expensive than tubes (Ge was more expensive than Gold)

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 21
1 Historical Review Current Transport:
1.3 Semiconductors From First Experiments to the Integrated Circuit
1945 Shockley: proposal for FET and failure for realization
1946 Bardeen: explanation of malfunction due to surface states
1947 Brattain, Bardeen, Shockley: discovery of Bipolartransistor (Ge-Point contact transistor)
1948: first public presentation of the transistor

Technology Bipolar FET


1948 Shockley: first junction transistor (as-grown) IGFET NIGFET
1949 Teal: single crystal fabrication/purity 1949 Shockley: Theory of pn-junctions
JFET MESFET
vertical patterning lateral patterning
(Creation of pn-junctions)
1948 Cabrera/Mott:
Oxidation of metals
1950 Sparks/Teal: grown-junction transistors
1951 Shockley: Heterojunction BiP-T
1950 Hall (GE): alloy-method In 1951 Bell-Labs sold patents for 1952 Ebers: npnp-tyristor
point-contact and junction transistors 1952: first industrial junction transistors
1952 Shockley: proposal JFET
1954 Shockley: Ion implantation for 25´000 USD to anyone interested 1953: first alloy transistors 1953 Dacey/Ross: realization JFET
1954 TI: first Si-transistor (grown-junction)
1954 Pfann: floating zone process
1955 Fuller/Reis: Diffusion process 1956 Diffusion transistors
1956 Tanenbaum: Diffusion 1956 Moll/Ross: Transistor design
1957 Schmidt: El.chem.oxidation of Si 1956 MIT: first transistor computer 1955 Garret/Brattain: depletion zone in Si/SiO2
in transistor
1957 Frosch: Oxide as diffusion mask 1956 Bell-Labs: 8 transistors in one Si-base
1958 Hoerni (Fairchild): Proposal Planar process + Photo resist 1957 TI: first Ge-Mesa-transistors
1958 Noyce/Kilby: Patent IC (Fairchild/TI)
PLANAR-TECHNOLOGY 1960 Atalla: Thermal oxidation
1960: about 2´000 transistor types 1959 Moll: MIS proposal
1960 Theurer: Si-CVD 1960 TI: IC-production 1960 Kahng/Atalla: first working MOSFET
1961 Ihantolla: Design-Theory for MOSFET
1962 RCA: industrial MOSFETs
1966 Goetzberger: characterization surface states
1966 Mead: proposal MESFET
Nice details on transistor history see for example: http://www.pbs.org/transistor/ 1967 Hooper: Fabrication GaAs-MESFET

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 22
1 Historical Review
1.3 Semiconductors Early Transistors

Ge-base

First industrial fabricated transistor


(Point-contact transistor, Raytheon 1952)
Laboratory design of the first transistor (Bell-Labs, 1948, amplification 18)

Large volume production


of transistors
(Germanium pnp, alloyed junctions)
(Raytheon 1953, ~8 USD)

First transistors in silicon (grown-junction)


(TI, 1954, 60 USD) First transistors in Germany
by SAF, Telefunken, Siemens
in 1953 (~8 USD)
1960: 2´000 various transistor types, market: ~ 8 Mill. $US
1970: 20´000 transistor types, 15´000 IC types, market: ~ 14 Mill. $US

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 23
1 Historical Review
1.3 Semiconductors First Applications

First Transistorradio TR-1


Nov., 1954 by Regency & TI

The demand was:


4 transistors, each 2.50 $US
selling price TR-1: 49.95 $US

for one year appr. 100´000 units were sold

SONY, TR-55, 1955


(Japan's first transistor radio,
employing five transistors
developed in-house)

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 24
1 Historical Review
1.3 Semiconductors Planartechnology

~ 1µm SiO2
300 – 800 µm

Geometrical characteristics:

Si Structures are wide (>100µm) compared to height (~1µm)


-> planar

1. Defect-free, single-crystal 2. Creation of a mask layer, e.g. thermal oxide Technological advantage:
semiconductor All devices are fabricated simultaneously (parallel)
-> all devices exhibit same performance
Economical advantage:
Mask
~ 1µm Photo resist * All devices are fabricated simultaneously (parallel)
* As smaller the devices are as more can be fabricated
simultaneously (nearly constant processing costs)

3. Deposition of photo resist 4. Exposure 5. Development of resist Planartechnology paved the roads
for two major inventions:

1mm -> 100µm

< 1µm

- the „Integrated Circuit“


- the MOS-Transistor

6. Patterning of mask layer 7. Process, e.g. doping 8. Removal of mask layer

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 25
1 Historical Review
1.3 Semiconductors Summarizing the Impact of the Bell-Labs

1948 - 1952:

From the invention of the (bipolar) transistor in 1948 until commercializing the transistor in 1952
the theoretical fundamentals were developed within the Bell-Labs

1952 - 1960:

In 1952 the industry was given two bipolar transistor types:


the point-contact transistor and the grown-junction transistor
Until 1960 the industry developed further transistor types (alloyed-junction-transistor, diffused transistor,
silicon transistor, mesa-transistor, ....) in about 2000 modifications.
Using transistors space- and power-saving and reliable electronic equipment could be developed.
The main user of transistors was the US military and space program.

since 1960:

Until 1960 the Bell-Labs developed the planartechnology. Using the planartechnology it was possible:
- mass fabrication of reproducible and reliable transistors
- the fabrication of MOSFETs (successful fabrication 1960)
- the fabrication of Integrated Circuits (invented 1959)

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 26
1 Historical Review
1.3 Semiconductors First Integrated Circuits

J. Kilby (TI): first IC 1958,


patent submission Feb.1959,
Realization of a multivibrator: IC with Ge-Mesa transistors,
granted in 1964
Nobel price 2000 2 transitors + 8 resistors + 2 capacitors but interconnections with external wiring

Noyce (Fairchild): patent submission July 1959,


granted in 1961

# IC with Si planar transistors including wiring

1961: start of IC mass production (~4´000/week)


(logic: flip-flops, counters, NAND, XOR, ..)
up to 1968: US space program buys 95% of IC production
-> price decrease from 1´000 $US / IC -> 30 $US

1970: First DRAM (1kb, INTEL)


1971: First microprocessor 4004 (2kb, INTEL)
....

Silicon planar transistor 2007-2011: daily production ~ 108 ICs


Hoerni (Fairchild) 1959 (8.7 Mill square inches = 56 Mill cm² ~108 ICs)

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 27
1 Historical Review
1.3 Semiconductors IC Applications

Integrated Circuits, ICs

3 main applications

Logic Memory
(micro processors)

Processors consist of logic Memories are transistors or circuits,


circuits, which can do calculations which can store results of calculation
and give instructions to other components

Mixed applications
(Application Specific ICs, ASICs)

ASICs are designed to


customer needs like
control of washing machines,
car ignition control, .....

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 28
1 Historical Review
1.3 Semiconductors Logic and First Micro-Processor

1968: Noyce and Moore left Fairchild and founded INTEL (see e.g. http://de.wikipedia.org/wiki/Intel)

1969: Nippon Calculating Machines (Japan) asked INTEL for some RAM ICs for making their industrial calculators
(Busicom) more flexible. Hoff (INTEL) was assigned for the project, but came up with a even more flexible
solution designing one central logic chip (NMC-ARU) with 3 additional ICs (NMC-RAM, NMC-ROM, NMC-SHR).

1970: Intel offered Busicom a lower price for the chips in return for securing the rights to the microprocessor design
and the rights to market it for non-calculator applications, allowing the Intel 4004 microprocessor to be
advertised in the November 15, 1971 issue of Electronic News.
It's then that the Intel 4004 became the first general-purpose microprocessor on the market

courtesy of Ruud Dingemans

1960: SSI <100 devices


1961: TTL (PacificMicrotel, 25µm feature size)
1962: ECL (Motorola)
1966: MSI < 1000 devices
1967: First MOS-logic ICs
1969: LSI < 10´000 devices, CMOS
1971: First Microprocessor INTEL 4004, 2´500 devices
....
2008: > 2 Bill. devices in logic circuits
1971: Model 4004, 2´500 Transistors, 8MHz (INTEL, CPU Tukwila
2012: INTEL, IvyBridge, 22 nm technology,
1.4 Bill. devices, chip size 160 mm²

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 29
1 Historical Review
1.3 Semiconductors A View on Actual CPU Performance

2010 INTEL:
All processors with 32 nm technology are called "Westmere" with variations: Arrandale, Clarkdale, Gulftown
New architecture in this new technology (= tick) are called "Sandy-bridge" (=tock)

Feb 2010: Feb 2012:


Gulftown Ivy-Bridge
6 cores, 4 cores,
chip size: 240 mm² chip size: 160 mm²
# transistors: 1.17*109 # transistors: 1.4*109

Computing Power:

1999: "Blue Pacific" for weather proposals


4 Teraflops, assembled with 6000 Intel PII-CPUs,
weigth: 48 tons, 4 MW electrical power

2012: "Super-MUC" LRZ München


~3 Petaflops, assembled with ~19´000 CPUs (INTEL XEON, 8-10 core)
main memory 340 TB, hard disc 14 PB (= 14*1015),
weight: 100 t, energy consumption: 3 MW, costs: 83 Mill. €
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 30
1 Historical Review
1.3 Semiconductors A View on Actual CPU Performance

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 31
1 Historical Review
1.3 Semiconductors Memory

1919: Invention of flip-flop memory using vacuum tubes


.....
1960: First fabrication of flip-flop ICs (TI, G00342, 450 $US)
1965: Invention of 3T-MOS memory cell (GME/Philco)
1968: Invention of 1T-MOS-DRAM cell (IBM)
1971: First MOS-DRAM, INTEL 1103 (3T, 1kb)
18cm
1973: First 1T-MOS-DRAM, INTEL 2107 (4kb)
1980: 64kb -> 4 pages of text
1986: 1Mb -> 1 min HiFi-Audio
2001: 256 Mb -> 1min Video, 4min Video MPEG
Magnetic core memory (1954 -1970) 12cm ...
- up to 1 kB 12cm 2012: 4 Gb-DRAM (30-20nm) in production,
Stacked magnetic core memory (28kB) 64 Gb Flash (20nm) in production

1970: The INTEL i1103 (1kB DRAM) was manufactured on a 6 mask


silicon gate PMOS process with 8µm minimum features. The resulting
product had a 2,400µm2 memory cell size, a die size just under 10mm2
and sold for around $21. It was the best selling memory chip in 1972.
www.icknowledgecom/trends/8086_8088B1.jpg

2010: SAMSUNG
Producing Industry’s First Higher-performing
20nm-class NAND Flash Memory, 64 Gb
Seoul, Korea on Apr 19, 2010
www.koreaittimes.com
2013: SAMSUNG
Producing ~10nm-class NAND Flash Memory,
128 Gb -> 8 chips stacked => 128 GB on one chip !
also: Toshiba, Micron similar products
Have a look at: http://www.theinquirer.net/inquirer/feature/2286446/micron-bets-on-3d-nand-flash-for-the-future-of-storage
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 32
1 Historical Review
1.3 Semiconductors Memory

SRAM DRAM Flash


(EEPROM)
(Static Random Access Memory) (Dynamic Random Access Memory)
6 transistor cell 1 transistor cell
(+ 1 capacitor) 1 transistor cell

-> advantage:
* non-volatile
* very small footprint -> cheap
-> disadvantage:
* volatile -> advantage:
small footprint -> cheap -> disadvantage:
* big footprint-> expensive very slow (10 -100 µsec)
fast (~10 nsec)
-> advantage: very fast
(few nsec) -> disadvantage: -> new so-called SSD
* volatile (solid state discs)

used as a small cache memory used as main memory used as mass storage
on processor chips for processors in duty

no power supply -> storage lost no power supply -> storage lost no power supply -> storage remains for 10 years

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 33
1 Historical Review
1.3 Semiconductors ASICs - Application-Specific Integrated Circuits

Goal of Market
Traditional Semiconductor Companies
(since starting ~1950)

imagine what the market needs, * few applications


create it, manufacture it -> calculators (need logic and memory ICs)
and then sell it on the open market => General Purpose Market
to multiple customers

Invention of new electronic applications


-> TV, camera, printer, washing machine, ...

market needs more "Systems"


-> each product, each company needs Goal of
own, application-specific ICs New System Companies
-> but tiny volume (say 100´000 chips/year) (starting since ~1980)
-> extremely high fabrication costs
-> try to find a IC-design,
which is highly standard, but can be customized

-> many transistors not connected


-> function created by last metal interconnects Gate-Arrays
-> cost-effective up to ~1´000 transistors
-> highly integrated functional blocks
-> cost-effective up to millions of transistors
Standard-Cells

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 34
1 Historical Review
1.3 Semiconductors ASICs - Application-Specific Integrated Circuits

Gate arrays consisted of regular arrays of unconnected transistors. The chips The other ASIC architecture was the standard cell, which started as a blank die.
were complete except for metal layers needed to connect the transistors. The The standard cell vendor created a library of logic gates and higher-level logic
gate array vendor would mass-produce these chips. Software provided by the functions called cells. The customer created a design from this library. The
gate array vendor, called a place-and-route tool, would map the logic gates of standard cell vendor provided place-and-route software that placed each cell
the customer’s design – NANDs, NORs, flip-flops, and so forth – to specific onto the die and wired them all together to create the customer’s design.
transistors on the chip and determine a good way to put metal traces on the chip Because only cells that the design specifically required were placed on the chip,
to connect the transistors so as to complete the design. Because all customers the chips were smaller and thus cost less per piece than a gate array. The
used the same basic platform, the costs associated with creating these base tradeoff was that the initial costs were not shared by other customers because
wafers were shared among all the customers. Thus, the initial NRE (non each customer’s standard cell chip was completely unique. Thus the standard
recurring expense) for a gate array was fairly cheap, though it could still be in cell chip had a large NRE.
the thousands or tens of thousands of dollars.

The cost structure was such that gate arrays were used by companies requiring
Gate array vendors offered families of gate arrays with a fixed number of fewer volumes of chips while standard cells were used by companies requiring
transistors. A vendor might offer a 5,000 transistor chip and a 10,000 transistor higher volumes of chips.
chip. If your design required 5,001 transistors you were forced to put the design
into the 10,000 transistor chip. Utilization of the transistors in a gate array was
thus inefficient. Since it costs a fixed amount of money to process a single
wafer, the more chip die that fit on that wafer – the cheaper the cost per chip.
Conversely, an underutilized gate array meant that the customer paid for all
transistors on the chip, even the unused ones. Thus the cost per piece for a
gate array was relatively high.

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 35
1 Historical Review of Manufacturing Science and Semiconductor Fabrication

1.1 Introduction

1.2 Historical Production Models

1.3 Semiconductor Fabrication

1.4 Semiconductor Economics

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 36
1 Historical Review
1.4 Semiconductor Economics Inventions Drive Changes in Society

Information
Industrial Communication
Agrar Society Society
Society
Services
Society

time

steam engine
cotton
steel
trains
electricity
chemistry
oil
cars
computers
networks
mobile phone
?
multimedia

1800 1850 1900 1950 2000 2050 year

1. Kondratieff 2. Kondratieff 3. Kondratieff 4. Kondratieff 5. Kondratieff 6. Kondratieff


see for example: http://en.wikipedia.org/wiki/Kondratiev_wave

New inventions may change the society

The invention of the transistor and the IC enabled the mobile information- and communication society

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 37
1 Historical Review
1.4 Semiconductor Economics Future Applications

Autarchically Robots (Dangerous Areas) ~1000GIPS


(105 TV chan)
1THz Entertainment (Interactiv TV, Video on Demand)
Human Adapted Information Warning Systems
GaAs (HEMT) 100GHz Real Time Translator ~100GIPS
Collision Avoiding In Situ Recognition Systems (Faces, Traffic)
SiGe (HBT)
Data Processing
Systems 64 GHz
10GHz
Actual Chip Computational Power
Global Satellite Network 1GHz (Intel Pentium, DEC Alpha, AMD Athlon)
"Orblink" 38/48 GHz (Si + Pipelining + Parallel)
Mobile 100MHz
Com.
i486 Speed &
10MHz Integration
Wireless Local Area Networks TV (~100 channels)
i386
(~ 50Mb/sec)
5GHz 1MHz
100 kHz
Modem 64kb/s
10 kHz
Speed &
Data Transfer Discretes 1kHz
TELEX 200b/s

Data transfer is done by bipolar discrete devices, data processing is done by CMOS Integrated Circuits

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 38
1 Historical Review
1.4 Semiconductor Economics Semiconductor Drives the World Largest Market
Global Gross Domestic Product
World Market
annual growth: ~ 4 %

10000
Electronic Equipment
annual growth: ~ 9%

1000
Global Economical
Bill. US $

Limit ?
Semiconductors
100 annual growth: ~ 17%

10 Semiconductor
Manufacturing Equipment
annual growth: ~ 10%

1950 1960 1970 1980 1990 2000 2010


Year
Semiconductor market grows 17% every year, although prices/bit decrease

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 39
1 Historical Review
1.4 Semiconductor Economics Saturation of the Market ? - A Statement
Facing dwindling profits, fewer opportunities to expand by taking market share from competitors and a shrinking roster of star performers, the semiconductor industry has entered a period of lowered expectations
and diminishing options, forcing chip suppliers to rethink their basic strategies for success, according to iSuppli Corp.
“Semiconductor profitability has eroded steadily since mid 2004, with quarterly net profits having fallen into the single-digit range in 2008,
down from the 17 percent to 19 percent range in 2004,” said Derek Lidow, president and chief executive officer of iSuppli.
The attached figure presents historical, quarterly operating profit margins for the global semiconductor industry.
“To a degree, conditions in the semiconductor industry have been impacted by short-term events, such as the market volatility
in 2006 due to inventory write-offs and price wars in major product segments like DRAMs and microprocessors,” Lidow observed.
“However, the long-term trend indicates that the semiconductor industry—which historically has been good at capturing profits in
the electronics value chain—seems to have lost its money-making touch.”
Haves and have-nots
As profit has diminished, the semiconductor industry has re-segmented itself into new groups, according to Lidow.
During the period of 2001 to 2004, semiconductor companies seemed to fall into three categories:
a small group of firms whose growth outperformed the market; a middle-performing group consisting of most suppliers and a set of low performers at the bottom.
The top caste of suppliers typically employed predatory business strategies that enabled them to take market share from weaker competitors.
The lowest performers often served as the market-share prey for the predators and the middle-range of suppliers. However, during the just completed semiconductor business cycle from 2004 to 2007,
the prey in the lowest caste of suppliers went extinct —and so did the success of predatory strategies, creating a larger group of middle-performing players. “The number of low performing companies
decreased by so much that there now are only two major distributions in the industry: a few outstanding performers and the rest,” Lidow said. “The number of competitors achieving growth of more
than 100 percent during the period of 2004 to 2007 declined to nine, down from 19 during the period of 2001 to 2004. This shows semiconductor companies can no longer break out of the pack by taking
market share away from weaker rivals.”
Breaking away
So how can semiconductor companies break out of the current market dynamics to outperform the industry? One proven strategy for success for semiconductor suppliers is to go out and capture value
from their customers by designing more of the total system with system-level chips built around proprietary Intellectual Property (IP). Companies that pursue such strategies are consistently more profitable
and faster growing than their peers. Examples include Qualcomm Inc., MediaTek Inc. and Linear Technology.
Another strategy is to milk established cash-cow products in the industry. Such cash-cow products typically are trailing-edge devices that have passed through their commodity stage, have fairly steady
pricing and have a dwindling number of suppliers that are willing to devote their best people to designing and managing products that most semiconductor cowboys would find boring. Sellers of such devices
include Microchip, Diodes Inc., Microsemi and Rohm.
Finally, well-heeled semiconductor suppliers can use their resources to massively outspend their rivals in the areas of products and manufacturing and thus maintain technical and scale dominances
in competitive market segments. Companies employing such strategies include Samsung Electronics Co. Ltd., Intel Corp and Taiwan Semiconductor Manufacturing Co. Ltd.
The wages of mediocrity
As semiconductor companies attempt to pursue such strategies, they will have to face the reality that the electronics industry has become increasingly unforgiving of mediocrity in any area because such
underperformance drains profits as well as device and operational performance. Furthermore, semiconductor buyers expect their suppliers to be first rate in all pursuits, ranging from process technology
to marketing, a standard that many companies can meet. “In this day and age, semiconductor suppliers have the opportunity to outsource any or all of their operations to third party sources that offer
world-class work,” Lidow observed. “Those semiconductor companies that are unable to achieve top-quality and performance in all processes, either through outsourcing or by using internal resources,
will be punished in the marketplace.”
Next year's model
Beyond the strategies described above, very daring semiconductor managers have another option: building a scalable acquisition process that would allow a semiconductor company to grow
by buying other companies or selected parts of companies. “Developing such a process would allow a company to achieve unprecedented scale and vast wealth,” Lidow said.
“With semiconductor processing becoming increasingly commoditized, such an endeavor is becoming practical.”
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 40
1 Historical Review
1.4 Semiconductor Economics Leverage Effect of Semiconductor Industry

The semiconductor market supplies the much larger markets


Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 41
1 Historical Review
1.4 Semiconductor Economics End Markets for Semiconductors

Automotive 8%
Consumer Industry/Military
17% 10%
Other
Communication
11%
PC 30%
Cell Phone
12% Other
Computer
12%

Higher sophisticated applications are in need of increasing amount of semiconductors

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 42
1 Historical Review
1.4 Semiconductor Economics
The Semiconductor Market

Other Other
Material Integration
5% 12% Technology
Other
15%
MOS
85%
Silicon
IC
95%
88%
Logic MOSFETs
16%
Memory 2009
DRAM
60%
Memory
MPU 54%
30% SRAM
Silicon is the dominant semiconductor material (95 %) 2% other NAND
11% Flash
88% of all semiconductors are in ICs 27%
All values are financial values, not numbers

MOS is the far dominant technology (85%)

DRAM (memory) is the most important product (~40% of all semiconductor money)
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 43
1 Historical Review
1.4 Semiconductor Economics
The Semiconductor Market

In 2012 for the first time the market


for NAND is larger than for DRAM

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 44
1 Historical Review
1.4 Semiconductor Economics
Historical Increase of MOS Devices

100%
90% 90% is MOS !
80%
70% Discretes
Market Value

60% BiP
50% MOS-Analog
MOS-Logic
40%
MOS-MPU
30%
MOS-Memory
20%
10% Source: ICE

0%
1982 1986 1990 1994 1998 2002 2006

Semiconductor industry earns 85% of its money with MOSFETs

In devices expressed: besides MOSFETs nearly no other devices are fabricated

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 45
1 Historical Review
1.4 Semiconductor Economics The Top Ten of Semiconductor Manufacturer

Total Market 2011: Top Ten Share: 160 Bill. USD


312 Bill. US $ only ~50 %

but: the trend of the last years


(since 2006) is, that big
players try to increase
productivity by overtaking
smaller or weaker competitors

Foundries

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 46
1 Historical Review
1.4 Semiconductor Economics The Top Ten of Semiconductor Manufacturers
Manufacturer

Foundries

Equipment

Fabless

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 47
1 Historical Review
1.4 Semiconductor Economics There is INTEL - and the Others

NEC Electronics Joins Fishkill Alliance


NEC Electronics has joined the IBM-led Fishkill Alliance, with a goal of
implementing NEC's automotive microcontrollers and other products
on the high-k/metal gate process at 32 nm design rules.
David Lammers, News Editor -- Semiconductor International, 9/11/2008

Demonstrating continued momentum for its partnership model, IBM


Corp. (Armonk, N.Y.) announced that NEC Electronics (Tokyo) has
joined the Fishkill Alliance, with a mutual goal of implementing the 32
nm high-k/metal gate process on NEC’s chip-level products. NEC
becomes the eighth company to participate in the bulk CMOS
development alliance, which includes Chartered Semiconductor
Manufacturing Ltd. (Singapore), Freescale Semiconductor Inc. (Austin,
Texas), Infineon Technologies AG (Neubiberg, Germany), Samsung
Electronics Co. (Seoul, South Korea), STMicroelectronics NV
(Geneva) and Toshiba Corp. (Tokyo).
Gary Patton, vice president of the IBM Semiconductor Research & Development Center, said the alliance is focused on the 32 nm generation, where high-k/metal
gate technology will provide an advantage over foundries such as Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC, Hsinchu, Taiwan). “Spurred by the
success we’ve had in the high-k program, NEC wanted to get involved directly, as well as in the early research work in Albany,” he said. “If you look at adoption of
the new technology, the rate of people moving into 45 has slowed down. Design teams are challenged to keep on a two-year cycle. There are a number of
customers skipping the 45/40 node and going straight to 32 nm to get the big density improvement and power/performance advantage of high-k/metal gate.”
NEC and IBM engineers are beginning discussions this week about how the low-power and general-purpose bulk 32 nm processes will align with NEC’s product
strategy, which includes automotive-use microcontrollers and other system-on-a-chip (SoC) products.
NEC Electronics will move IP to the Fishkill multi-project wafer (MPW) shuttle to test out 32 nm designs. Patton said the alliance’s goal is to have the low-power
version of the 32 nm process in early production by the fourth quarter of 2009, with the general-purpose version coming in the first quarter of 2010.
Also, the two companies agreed that NEC will participate in the IBM-led research alliance, which is focused on 22 nm and beyond technologies, including extreme
ultraviolet (EUV) lithography, 3-D interconnects, and other topics. The research alliance conducts much of its work at the College of Nanoscale Science and
Engineering (CNSE) of the University at Albany, with additional research based at IBM’s Watson Research Lab (Yorktown, N.Y.) and the IBM Almaden lab (San
Jose).
Any alliance partner has the option of taking its designs to the foundry partners within the Common Platform, including Chartered and the foundry operations of IBM
and Samsung. The Common Platform will hold a technology event Sept. 30 in Santa Clara, Calif., where Patton said the focus will be on “32 nm technology and
what it buys customers in terms of yields, performance and design ease. We also will be talking about what is coming down the pike for 15 nm and 22 nm.”

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 48
1 Historical Review
1.4 Semiconductor Economics The Others: - Cleaning the Market

Qimonda Insolvency Could Boost DRAM Prices


01.26.09
DRAMeXchange said in a statement that it believes that the price of the DRAM may rise as a result of Qimonda's insolvency filing.
But the price level is still expected to be just around manufacturing cost, still an aggressive price level that favors buyers.

HELSINKI, Jan 26 (Reuters) - Prices of key DRAM chips could rise to vendors cash cost level, helped by Qimonda's insolvency filing,
electronic components research firm DRAMeXchange said in a statement.
The research firm said there was "high possibility" for a 10 percent cut in global supply of dynamic random access memory (DRAM) as long as o
ther vendors stick to their capacity cut plans.
Prices for DDR2 1Gb chips, used as the main memory chip in many personal computers, could rise from below $1 currently to $1.2 to $1.5 level,
which is the cash cost for vendors, said DRAMeXchange, a leading price-tracking organisation for the industry.
The sector has been mired in its worst-ever downturn for more than a year, with all major players reporting losses on their operations due to a
large oversupply of the chips used mainly in PCs.
Qimonda on Friday became the first major maker of DRAM chips to file for insolvency as a result of huge industry price declines and a
global financing squeeze.
Also on Friday, Samsung Electronics, the world's largest DRAM maker, posted its first-ever quarterly loss in large part due to its DRAM business.
According to preliminary estimates from iSuppli, global DRAM revenue fell by 19.8 percent in 2008 to $25.2 billion, the second year of decline,
and is expected to drop another 4.3 percent this year.
"Qimonda's insolvency means global (2009) DRAM bit shipment growth now is expected to be less than the 30 percent level, down from iSuppli's
previous forecast of 35 percent," Nam Hyung Kim, chief analyst for memory at iSuppli, said in a statement.
"This will reduce supply growth, helping to stabilise pricing, and helping to mitigate the oversupply-driven downturn," Kim said.
Kim said the potential impact of the Qimonda insolvency will come in the graphics and server chip markets as in the third quarter of 2008 it
accounted for 26 percent of shipments of graphic DRAMs. These are used in the video subsystems in computers and consumer electronics
devices, and control 15 percent to 20 percent of the global market for DRAM for servers.
(Reporting by Tarmo Virki; Editing by Simon Jessop and Sharon Lindores)

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 49
1 Historical Review
1.4 Semiconductor Economics Worldwide Memory Supplier Market Share

Worldwide Memory Revenue Forecast by Product Category


2006
Forecast

(NEC, Hitachi, Mitsubishi)

Acquisition cleans the market


2010

Samsung Worldwide Memory Shipments in GBytes


Hynix
Elpida
Micron
Nanya
Others

0 10 20 30 40 50

Far-East (Korea, Japan, Taiwan) dominates the Memory-Market with ~ 90% share
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 50
1 Historical Review
1.4 Semiconductor Economics Area Consumption for Memory
Costs per GByte

Memory 2009 DRAM


60%

SRAM
2% other NAND
11% Flash
27%
http://www.reram-forum.com/2012/07/10/are-we-there-yet-
is-new-memory-costbit-equal-to-dram-yet/
source: convergent semiconductors

70 Mb SRAM, INTEL 2 Gb DRAM, SAMSUNG 8 Gb NAND, SAMSUNG

Area consumption makes the price/bit


Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 51
1 Historical Review
1.4 Semiconductor Economics DRAMs

Global DRAM market climbs 34% in Q2


Wednesday, August 19, 2009
The global DRAM market reached $4.5 billion in the second quarter, up 34 percent from $3.4 billion in the first quarter,
according to a second quarter DRAM report from iSuppli Corp.This contrasts with a 19 percent decline in the
first quarter compared to the fourth quarter of 2008, said the market researcher.
Unit shipments of DRAM also grew by 14 percent, surpassing iSuppli’s estimate of 6.2 percent.
A shortage in DDR3 parts and inventory re-stocking efforts by buyers drove per megabyte pricing up by 18 percent
in the second quarter, said Nam Hyung Kim, director and chief analyst for memory ICs and storage at iSuppli.
He said this is “an unusual increase for a market that customarily sees its prices decline during each quarter.
iSuppli believes that the recent shortage of DDR3 DRAM will continue through the end of this year.
The market researcher says limited capital investments will continue to delay the migration to the 5x nanometer process
until the first quarter of 2010, and until that time, DDR3 will be in tight supply.
As a result of the shortage, PC OEMs are rethinking their DDR3 adoption by converting back to DDR2 as most of
Intel’s current chipsets still support dual modes (DDR2 and DDR3), said iSuppli. Although price increases are expected
to slow, profit margins at PC OEMs will be challenged in the second half, said Kim.

iSuppli’s report shows that Elpida Memory Inc. in Japan posted the strongest performance among the Top 5 DRAM suppliers in the second quarter, with revenue reaching $745 million, up from $497 million
in the first quarter. The market researcher attributes the boost to a 32 percent increase in average selling prices (ASPs) for DRAMs in the second quarter, compared to the first quarter.
“Elpida accomplished its strong increases in revenue and pricing by expanding its specialty DRAM sales to mobile and consumer applications,” said Kim. “These specialty DRAMs command higher prices
than commodity parts, allowing Elpida to outperform its competitors.
”The other big winner during the second quarter was Taiwan’s Winbond Electronics Corp., whose revenue doubled, rising to $87.6 million, up from $44 million in the first quarter.
The Top 2 South Korean companies, Samsung Electronics Co. Ltd. and Hynix Semiconductor Inc., captured 55.9 percent of the global market, with DRAM sales for each company increasing
sequentially by more than 30 percent during the second quarter, said iSuppli.
Micron Technology Inc. in the U.S. saw its share of DRAM revenue dip to 13.9 percent in the second quarter, down from 14.3 percent in the first quarter, due to strong sales growth of other Tier 1 DRAM
suppliers.
However, Micron’s second-quarter revenue declined by 15.2 compared to the same period in 2008, the lowest level of decrease among the Top 10 DRAM suppliers in the second quarter, according to
iSuppli.
Taiwan’s Nanya Technology Corp. also performed relatively well on the year-over-year comparison, with only a 15.4 percent decline in revenue.
“The relatively limited declines of Micron and Nanya, which recently entered a partnership, showed that the companies are seriously striving to increase their scale to become more competitive in the market.

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 52
1 Historical Review
1.4 Semiconductor Economics Worldwide MPU Supplier Market Share

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 53
1 Historical Review
1.4 Semiconductor Economics Comparision of Technology: Bipolar - MOSFET

Why are MOSFETs the dominant Technology ?

MOSFETs can be fabricated with less production steps than Bipolar transistors
-> cost saving fabrication

MOSFETs are consuming less area than Bipolar transistors using same minimum feature size
-> cost saving integration

MOSFETs are easier to scale than Bipolar transistors


-> cost saving development of future generations

MOSFETs in CMOS-Technique are the circuits of lowest power consumption


-> ideal for high integration and mobile applications

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 54
1 Historical Review
1.4 Semiconductor Economics
Comparision of Process Effort

Basic effort Bipolar transistor: Basic effort MOSFET

Mask 1: Device isolation (LOCOS) Mask 1: Device isolation (LOCOS)


Mask 2: Collector doping Mask 2: Gate patterning
Mask 3: Base doping Mask 3: Isolation and contact hole opening
Mask 4: Emitter doping Mask 4: Patterning of metallization
Mask 5: Opening of contact holes
Mask 6: Patterning of metallization

MOSFETs can be fabricated with lower effort, this is direct saving of fabrication costs

Reduced number of fabrication processes induces less failure possibilities, more working chips/wafer

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 55
1 Historical Review
1.4 Semiconductor Economics Realization of Bipolar and MOS Transistors in ICs

Integration means:

many devices side by side must be


switched on/off separately
-> isolation
-> all contacts on top

Basic device design:


Bipolar: 6 masks
-> large area
same minimum feature size -> expensive
-> low yield

MOS: 4 masks
-> smaller area
-> cheaper
-> higher yield
about 4-10 MOSFETs can be placed
Bipolar MOSFET on the same area of one BiP using
the same technology
-> scalable -> safety for shrinking
-> easy design
MOS is much more suitable for integration than bipolar

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 56
1 Historical Review
1.4 Semiconductor Economics
Power Consumption of CMOS

The inverter is one of two basic elements in any


logical circuit

An inverter in CMOS-technique only consumes


power during switching (no constant power)

CMOS devices are best suited for high integration and mobile applications

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 57
1 Historical Review
1.4 Semiconductor Economics
Moore´s Law

Growth in Chip Complexity


1P 0.007
14nm, 2014
DRAMs

Minimum Feature [µm]


16T 0.018
DRAM Generation
22nm, 2012
32nm, 2009
256G 45nm, 2007 0.05
65nm, 2005
4G 90 nm,2003 Forecast 0.13

64M 0.35
x 4 / 3 years
1M 1

16k --

1960 1970 1980 1990 2000 2010 2020 2030 2040


Year of 1 Million Units on Market

The prosperty of the semiconductor market (annual growth by ~17%) is mainly due to cost reduction
by shrinking devices in planar technology (see next chapter)

To ensure prosperty in future so-called roadmaps (of shrinking) exist

Only CMOS allows shrinking at lowest cost because of it´s scalability

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 58
1 Historical Review
1.4 Semiconductor Economics
Moore´s Law : News in 2011

22nm Finfet May Extend Intel's Two Year Lead In Process.


By David Manners on July 22, 2011 1:36 AM
Intel has a two year lead in process technology over the rest of the
semiconductor industry and could get further ahead if yields are satisfactory ctory
on its finfet-based 22nm process due for introduction later this year,
said Mike Bryant, CTO of Future Horizons, at last week's IFS 2011.

see for example: http://www.anandtech.com/show/4313/intel-announces-first-22nm-3d-trigate-transistors-shipping-in-2h-2011

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 59
1 Historical Review
1.4 Semiconductor Economics
Contributions to Moore´s Law

Growth in Chip Complexity For shrinking dimensions a roadmap exists,


1P 0.007
which is called Moore´s Law

Minimum Feature [µm]


16T 0.018
DRAM Generation

256G devices/chip: 0.05

4G x 4 / 3 years Forecast 0.13 Moore´s Law:


64M historical 0.35 the number of devices/chip increases by
1M 1
a factor 4 every 3 years
16k --

1960 1970 1980 1990 2000 2010 2020 2030 2040 Moore´s law is achieved by:
Year of 1 Million Units on Market

Shrink in Lithography Increase in Chip Size Shrink in Cell Size


10
Minimum Feature Size [µm]

1000 1000
DRAM: 8F²
2 in lithography DRAMs
2000 100
Chip Size [mm²]

Cell Size [µm²]


1 -> Factor 2 in area (400 mm²) Forecast 10
2000
100 1
(0.26µm²)
0.1 0.1 x 1.3 Architecture
2000 x 1.5 / Generation
(180 nm) Forecast 0.01
Forecast
0.01 10 1E-3
4k 64k 1M 16M 256M 4G 64G 4k 64k 1M 16M 256M 4G 64G 4k 64k 1M 16M 256M 4G 64G
DRAM Generation DRAM Generation DRAM Generation

a factor 2 in dimension shrink a factor 1.5 in chip size increase a factor 1.3 in better design
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 60
1 Historical Review
1.4 Semiconductor Economics
Planning the Future with Roadmaps

Early roadmap from 1997: Year 2012 -> 50nm Generation


Supply Voltage: (0.5 - 0.6) V

Ioff < 10 nA/µm


I = 5 decades
Ion : 600 µA/µm

VG = 315 mV
(with ideal S = 63 mV/dec)

Equivalent oxide thickness for


Long Channel Criterion
S/D junction depth for Long Channel
Criterion

Channel doping for Long Channel


Criterion

Starting Yield: 60 %

Roadmaps are only a list of required device properties in time to ensure the prosperty of semiconductor industry

-> Roadmaps are based on planartechnology and shrinking


-> for a lot of requirements today no realization possibility (red walls) exist
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 61
1 Historical Review
1.4 Semiconductor Economics
Cost Reduction by Area Reduction
Example: Fabrication costs per wafer

Technology (~1990) Application 3" 100mm 150mm

NMOS (array) + CMOS 1 Mb DRAM 75 USD 90 USD 130 USD

with: Production Costs


wafer size: 100 mm per working chip
die size: 9x9 mm²
defect density: 3 / cm²
6.43 $
Redesign

Shrink by 15 %

1000000
100
Chips per Wafer Wafer Diameter:
Chips per Wafer
100000 3"
100mm Yield per Wafer

Yield [% of dies]
200mm Production Costs
10000 300mm
Number of Dies

450mm D = 0,1/cm²
per working chip
D = 1/cm²
1000 10 D = 3/cm²

100 3.46 $
10
Gross die 

  Rwafer  Adie 
2

YPoisson  exp A  D 
Adie
1 1
1 10 100 1000 1 10 100 1000
Die Area [mm²] Die Area [mm²]

Small shrinking in device dimensions reduces fabrication costs / device drastically

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 62
1 Historical Review
1.4 Semiconductor Economics
Additional Cost Saving by Larger Substrates

10000

675 Lager wafers allow


450 more chips per wafer

chips/wafer
1000
300
200
150
100 125
100
75
10
1960 1970 1980 1990 2000 2010 2020 2030

Year
20/7 nm MOSFET (Experiment):
CMOS mainstream 2008

INTEL 2007
Hoerni (Fairchild) 1957 Penryn CPU,
Si-Planartransistor 2N1613, 45 nm Gate Length
764µm diameter
Deleonibus et al., EDL 21 (2000) 173

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 63
1 Historical Review
1.4 Semiconductor Economics
But: Exponential Increase in Fabrication Costs !

Investment Costs for New Fabs Increasing Process Complexity

(e.g. INTEL, source: press publications)


2012 TSMC, FAB14, 20nm
10000
Samsung, China,300mm,
Toshiba, Japan, Flash, 10nm
NAND
Intel CPUs Number of Masks
Intel, Fab42, 450mm, 14nm
GlobalFoundries, 28nm
INTEL, FAB28, 3. 45nm FA
AMD, FAB36, Dresden, 300mm, 65nm
386 10
Fab Costs [Mill. US$]

1000
announced in www 486 12
various
Pentium 18
sources
Pentium IV (2004) 25
100
~ Factor 10 in 15 years
=> Factor 1.6 in 3 years

10 Increasing Production Costs / Generation

1965 1970 1975 1980 1985 1990 1995 2000 2005 2010 2015 2020 Equipment + 50 %
Year Pure Materials + 35 %
Process Steps + 25 %
Test + 20 %
Production Costs + (30-50) %
Cost Reducing Strategies
1. Around 2000 with 300mm wafer automation and Mini-Environments
2. Cooperation (Sharing costs, risks and time)
Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
Modul 1278 ICM, 1- 64
1 Historical Review
1.4 Semiconductor Economics Exponential Increase in Fabrication Costs
Example: Number of metallization layers

Higher fabrication costs due to more processes:

Due to shrinking geometries (-> increase in RC-delay times)


more and more metallization layers must be fabricated.

1970: 2-3 Metallization layers (poly-Si, Alu)


2000: 6-7 Metallization layers (poly-Si, W, TiSi, CoSi, Cu)
2012: 10-12 Metallization layers expected (....)

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 65
1 Historical Review
1.4 Semiconductor Economics Life Cycles and Prices for DRAMs

Average DRAM Prices (Spot/contract market)


1000 (also depending on MHz and company)
4M
16M 256Mb Price reduction of DRAMs creates a dramatical
64k 256k 1M reduction in costs/function of about
100 16k 64M 30% per year and function
1Gb
Prices/unit [$ US]

512Mb
10 0,01 (Prices are from cheapest
available DRAM at time)
CPUs (Price at introduction of new generation)

1E-3 DRAMs
386 134k
386 275k
2G

1 1E-4 Excess
capacity
486 1.2M
INTEL PI 3.1M
1 US$-line INTEL PIpro 5.5M
1E-5

price/bit [US $]
INTEL PII 7.5M

AMD Athlon 22M


Data from various sources: DATAQUEST, Kingston, SEMICO, Micron, DRAMExchange
http://www.dramexchange.com/
75% of all DRAMs PC-boom INTEL PIII 22M, 800MHz

0,1 1E-6 are needed in PCs


INTEL P4 42M, 2GHz
INTEL Core2Quad
820M
1975 1980 1985 1990 1995 2000 2005 2010 2015 trade agreement Overcapacity + AMD Phenom II X4

Year 1E-7 Corean crisis


PC-saturation
758M

(~100Mill/year)

1E-8
Finally every IC will end around 1 US $ Traditional slope trade agreements
(650 Mill. US$ fines)
of 70%
1E-9
-> average reduction of 30% / year
Fabrication costs are below 1 $US per chip 1E-10
1975 1980 1985 1990 1995 2000 2005 2010
(but well kept secret of every company !) Year

Selling prices decrease about 2 per bit and generation

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 66
1 Historical Review
1.4 Semiconductor Economics Historical Cost Reduction per Function

The equivalent of 1Mb:

2012
2 Gb = 0.80 USD

2008
1 Gb = 0.60 USD
-> 1 Mb = 0.1 ct
~ 40 grains of rice

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 67
1 Historical Review
1.4 Semiconductor Economics
The Calculation of Semiconductor Industry
3 2 1,3 0,8 0,5 0,35 0,25 0,15
Increasing Device Complexity 100000
Feature Size [µm]

INTEL Processors
386
Mask Levels
10 Investment Costs 10000 # Fab-costs rise about a factor 2 / Generation
12

Investment [Mill. US $]
486 per FAB

(1990: 4 Mb-DRAM: ~1 Billion US $

1
Pentium 18
1000

+
Escalating Costs /per Gen
from Mitsubishi / ISSCC93 1995 2001
10
100
# Fabrication costs rise about <1.5 / Generation
16k 64k 256k 1M 4M 16M 64M 256M 1G 4G
Equipment: + 50 % DRAM Generation
Pure Materials: + 35 %
Process Steps: + 25 %
Cost Reduction Strategies
Test: + 20 % Cooperations (Risc-, Cost-, Time-Sharing)
Production Costs: + (30 - 50) % New Concepts (Mini-Environments)

Example: Fabrication costs per wafer

Technology

Silicon Gate NMOS


Application

1 Mbit DRAM
~ 1990
75 $
3" 100 mm
90 $
125 mm 150 mm
105 $ 130 $ # Fabrication costs: 1Mb-DRAM on 6“-wafers: ~130 US $ / wafer
with: Production Costs

2
Defect Density D: 3cm-2 per working chip
Redesign: Die Size: 9x9 mm²
Shrink by 15 % wafer size: 100mm
6.43 $
0.01
5
Chips / Wafer Yield / Die Size (Prices are from cheapest CPUs Preis beim Erscheinen des Folgemodells
10 100 available DRAM at time)
386 134k

4
10
[3"]
[100mm]
[150mm]
[200mm]

3.46 $
1E-3 DRAMs 386 275k

486 1.2M
# cost/transistor decrease about 2 / generation

3
3
10 1E-4
Gross Die

INTEL PI 3.1M

-> each generation the IC is about a factor 2 more


Yield [%]

price/bit [US $]
10 D=1 Excess INTEL PIpro 5.5M
2
10 D=3 Cost Reduction capacity INTEL PII 7.5M
D=10

1   R  A1/ 3
2 by 2 1E-5
Fit:
AMD Athlon 22M
10 Gross Die  Y  exp  A  D

0
10
1 10
A

100 1000
1
1 10 100
Die Area [mm²]
1000 1E-6
slope: 0.70

Pro DRAM-Generation (3 Jahre)


trade agreement
-> average reduction of 30% / year
Corean crisis
expensive
Die Area [mm²]
fällt der Preis/bit um einen Faktor 2 - 2.5
(2 = 1.25^3, 2.5=1.35^3)
1E-7
-> da aber auf jeder neuen Generation 4x mehr bits
realisiert sind, steigt der Verkaufspreis/chip um
etwa einen Faktor 2 pro Generation
1E-8
1975 1980 1985 1990 1995 2000 2005
year

Calculation:
Equipment costs: FAB (1990) ~ 1Bill. US $, life cycle about 10 years ~ 3 generations

Fabrication costs: input: 7000 wafer/week *52 weeks * 10 years * 130 US$/wafer = 470 Mill. US$

Cost ratio: fabrication costs/ equipment ~ 1/2

Cost increase / gen. = 2 parts FAB * Factor 2 + 1 Part production * Factor 1.5 = 5.5 new parts / 3 old parts = 1.8

income: increased selling price per generation / cost rise per generation = 2 / 1.8 ~ 10 %

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 68
1 Historical Review of Manufacturing Science and Semiconductor Fabrication

Integrated Circuit Manufacturing Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober


Modul 1278 ICM, 1- 69

Potrebbero piacerti anche