Sei sulla pagina 1di 5

INTERNATIONAL JOURNAL FOR RESEARCH & DEVELOPMENT IN Volume-10,Issue-5(Nov-18)

TECHNOLOGY ISSN (O) :- 2349-3585

A NOVEL OPTIMIZED MEMORY ARCHITECTURE


FOR SYSTEM ON CHIP
__________________________________________________________________________________________
Reshma . R1, Anitha. R2, Balaji.G3
Assistant professor of Electronics and Communication Engineering, Sri Shakthi Institute of Engineering and Technology, Coimbatore

Abstract-Content addressable memory (CAM) is a special to detect a match between stored bit and input bit. The match
type of computer memory used in certain high speed output from each CAM cell in the data word must be
searching applications. CAM compares input search data combined to produce a complete data word match signal.CAM
against a table of stored data and returns the address of the is almost faster than RAM [3].CAM can offer high speed
matching data. CAMs can perform its searching application search function in a single clock cycle.Generally CAM has
in a single clock cycle. The main challenge in designing the three operation modes: READ, WRITE and COMPARE.in
CAM is to reduce the power consumption without reducing which compare is the main operation [4]. Fig. 1 shows the
the speed and memory density. In this paper, we introduce a block diagram of conventional CAM. The compare operation
parity bit that leads to delay reduction, area and power starts by loading an input search word into the search data
overhead. The effective gated power technique is proposed to register. The search data is then broadcast into the CAM cells
reduce the dynamic power consumption and enhance the through the pairs of search lines (SLs) and it is compared with
robustness of the design against process variation. every bit of the stored word by using comparison circuit.
Index Terms-Content addressable memory (CAM), match-
line, search-line.
I. INTRODUCTION
Most memory devices store and retrieve data by addressing
specific memory locations. For example, a system using RAM
or ROM searches sequentially through memory to locate data.
However this technique reduces the performance of memory
since the searching of data requires multiple clock cycles [1].
It considerably reduces the time required to search by Fig. 1 Block diagram of CAM
identifying stored data by content rather than by address. Each stored bit will contain a match line (ML) that is shared
Memory accessed in this way is called Content addressable between bits in order to obtain the comparison result. The
memory (CAM). CAM offers a performance advantage over corresponding matched word obtained will be identified by an
other memory search algorithms [2], such as binary based output encoder unit as shown in Fig. 1. Generally, there are
searches, tree based searches or look aside tag buffers, because two stages
it compares the desired information against the entire list of 1. Pre-charge stage
pre- sorted entries simultaneously. Thus, CAM provides an 2. Evaluation stage
order of magnitude reduction in the search time. CAM is During pre-charge stage, the Match lines will be held at the
based on RAM technology [1]. Content addressable memory ground level while both SL and SL will be held at VDD.
(CAM) is a solid state memory in which the data are accessed During evaluation stage, the complementary search data is
by their contents rather than physical location [3], [4].CAM then broadcasts into the SLs and ~SLs. When mismatch
has simple storage cells; each individual memory bit in a fully occurs in any CAM cell for example, when D = „1‟; ~D =
parallel CAM must have its own associated comparison circuit „0‟; SL =‟1‟; ~SL = „0‟ then the corresponding transistor will

103
All rights reserved by www.ijrdt.org
Paper Title:-A NOVEL OPTIMIZED MEMORY ARCHITECTURE FOR SYSTEM ON CHIP

be turned on charging the ML to a higher voltage level. When The extra information holds the number of ones in the stored
the data is matched then the ML will turn high and the voltage word. For example in Fig. 2, when searching for the data
on the ML will remain unchanged indicating a match. Since word, 0000101, the pre-computation circuit counts the number
all the words inside the CAM cells are compared in parallel of ones (which is two in this case). The number two is
their results can be obtained in a single clock cycle. Hence, it compared on the left hand side to the stored 1‟s count. Only
is said that CAMs are faster than other search based systems the match lines ML01 and ML03 match, since only they have
[1]. Therefore they are preferred for more high speed a 1‟s count of two. In the stored word stage in Fig. 2, only two
applications such as file management, data compressors and comparisons actively consume power and match line ML13
network routers [5]. The full parallel search operation may results in a match.The Pre-computation CAM and all other
often lead to critical challenges in designing a low power existing method share the same property that is, the ML sense
system for high speed CAMs [1], [4]. As said earlier, the amplifier has to distinguish between the matched and the
CAM is power hungry due to its parallel ML comparison and mismatch ML. Hence. This makes the CAM designs face
high speed switching activity of the SLs. Therefore numerous challenges since the driving strength of turned on path is
efforts have been taken to reduce both the peak and dynamic getting weaker, while the leakage is getting stronger. This
power consumption in CAM [5], [6]. For example [7] and [8] problem is usually referred to as Ion/I0ff. Thus, in order to
introduced selective pipeline and hierarchical architecture to boost the searching speed of the ML and also to improve the
reduce both the peak and average power consumption. In this Ion/I off of the CAM Parity bit is proposed.
paper, a parity bit based CAM is introduced in order to boost III. BOOSTING SEARCH SPEED
the search speed of the parallel CAM with less area and power USING PARITY CAM
overhead. Also, the effective gated power technique is The Parity bit based CAM design is shown in Fig. 3. It
introduced in order to improve the performance comparison of consists of the original data segment and an extra one bit
CAM in terms of both area and robustness. The concept of the segment. The Parity bit can be obtained based on odd and
conventional CAM is described in section II, and followed by even number of 1‟s. Hence, with the help of Parity bit data
section III, which introduces the proposed CAM cell design. can be accessed faster. For the even number of 1‟s the entry is
Performance comparison, results and the conclusion are „0‟ and for odd number of 1‟s the entry will be „1‟. The new
presented in section IV, V and VI. CAM design has the same interface as the conventional CAM
II. PRE COMPUTATION BASED with one extra bit.
PARITY BIT DATA BITS
CAM DESIGN
The Fig. 2 shows the pre-computation based CAM design. The 0 0 0 0 0 0 0 0 ML0

0 0 1 0 0 0 0 1 ML1
pre-computation based CAM with low power, low costs and
1 0 0 0 0 0 0 1 ML2
low voltage not only saves power consumption, but also
0 0 0 0 0 1 0 1 ML3
reduces transistor count and operating voltage of CAM. 0 0 0 0 1 1 1 1 ML4
COUNTING DATA BITS

BITS ML00 ML10 SEARCH DATA


0 0 0 0 0 0 0
0

ML01 0 0 0 0 0 1 0 1
2 0 1 0 0 0 0 1 ML11
ML02
ML12
1 0 0 0 0 0 0 1
Fig. 3 Proposed Parity Cam
ML03
ML13
2 0 0 0 0 1 0 1
Hence, this Parity bit based CAM does not improve the power
4 ML04 0 0 0 1 1 1 1 ML14
performance. Theoretically, this additional Parity bit reduces
the sensing delay and boosts the searching speed. The Parity
SEARCH DATA
bit of the search and the stored word is the same in the case of
2 1's count 0 0 0 0 1 0 1
ML3, thus the overall word returns a match. For example, in
Fig. 2 Pre computation based – CAM

104
ISSN:-2349-3585 |www.ijrdt.org
Paper Title:-A NOVEL OPTIMIZED MEMORY ARCHITECTURE FOR SYSTEM ON CHIP

case of ML2 there is a mismatch between the stored data and reaches a certain threshold value. Now at the beginning of
the search data and so the number of 1‟s between the stored each cycle, the ML can be initialized by a global control signal
and search data must be different by 1. As a result, the EN. When the signal EN is set low and the Px is turned OFF,
corresponding parity bits are different. If suppose there are this makes the signal ML and C1 to be held at ground and
two mismatches in the data segment that is, in the case of Fig. VDD respectively. Similarly, when the signal EN is set high,
3 ML0, ML1 and ML4, the parity bits are same and therefore this initiates the COMPARE phase. When there are more
overall we have two mismatches. Now with the help of sense mismatches in the CAM cells, the ML will be charged high.
amplifier we have to identify the mismatched and the matched Whatever the number of mismatches may be, the current
cases. This clearly shows that the driving strength of the 2- offered by the power transistor PX will be limited.
mismatch word is twice as strong as that of the 1-mismatch V. PERFORMANCE COMPARISON
word, hence, the proposed Parity bit based CAM greatly In this section, the performance of the proposed design is
improves the searching speed and the Ion/I off ratio of the compared with the conventional circuit. Both the designs are
design. very power efficient. A similar concept is applied with a
IV.EFFECTIVE GATED –POWER TECHNIQUE ML positive feedback loop to boost the searching speed [9]. As
DESIGN will be shown in Fig. 5, the proposed CAM design slightly
The proposed CAM architecture is shown in the Fig. 4. In this consumes lower power consumption when compared to the
design, the CAM cells are arranged into rows and columns. conventional circuit design.The Simulation results of the three
The row is indicated as a word and the column is indicated as CAM cells are simulated using simulated tool Modelsim SE
a bit. Each cell has the same number of transistor and uses the 6.3f. In the data searching operation, the searching speed
similar ML structure as shown in the Fig. 1. From the above depends on the difference between two compared data,
Fig. 4, it is clearly shown that the COMPARISON unit, that is, because more similar data takes more searching latency.
transistors M1-M4 and the SRAM unit that is, the cross Consequently, for measuring the maximum speed of these
coupled inverters are shown by two separate metal rails CAM structure, all stored data in these CAM cells are Two-bit
VDDML and VDD. The purpose of having VDDML and misses. Table I shows the simulation result of conventional
VDD can fully isolate the SRAM cell from any power CAM cell and proposed CAM cells with different partial bits.
disturbances during COMPARE cycle. The simulation result shows that proposed CAM takes less
power consumption than that of the other designs.

Fig. 4 Proposed gated ML design


In Fig. 4, the gated power transistor PX, which is controlled
Fig. 5 COMPARISON OF THREE DESIGNS
by a feedback loop, denoted as Power Control can
PARAMETER
automatically turn off PX, when the voltage on the ML

105
ISSN:-2349-3585 |www.ijrdt.org
Paper Title:-A NOVEL OPTIMIZED MEMORY ARCHITECTURE FOR SYSTEM ON CHIP

VI. RESULT 3, pp. 712- 727, Mar. 2006.


TABLE I COMPARISON RESULT OF ALL THE [5]N. Mohan, W. Fung, D. Wright, and M. Sash dev, “A low-
THREE PARAMETERS power ternary CAM with positive-feedback match-line sense
amplifiers,”IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56,
no. 3, pp. 566- 573, Mar. 2009.
[6] N. Mohan and M. Sachdev, “Low power dual match-line
content addressable memory,” in Proc. IEEE Int. Symp.
Circuits Syst. (ISCAS), vol. 2, 2004, pp. 633-636.
[7]K. Pagiamtzis and A. Sheikholeslami, “Pipelined match-
lines and Hierarchical search-lines for low power content-
addressable memories,” in Proc. IEEE Custom Intergrated
Circuits Conf., 2003, pp. 383- 386.
VII. CONCLUSION
[8]K. Pagiamtzis and A. Sheikholeslami, “A low power
In this paper, the proposed CAM based on Parity bit and
content- addressable memory (CAM) using Pipelined
effective gated-power technique offers several advantages
Hierarchical search scheme,” IEEE J. Solid- State Circuits,
when compared to the conventional design. The proposed
vol. 39, no. 9, pp. 1512- 1519, Sep. 2004.
CAM reduces power and boosts the searching speed. It is
[9]Satoru Hanzawa, Takeshi Sakata, Kazuhiko Kajigaya,
therefore more stable than the conventional design while
RiichiroTakemura, Takayuki Kawahara, “A large scale and
maintaining the low power consumption property. This feature
low power CAM architecture featuring a one hot spot block
confirms that the proposed design is more suitable for ultra-
code for IP address in a network router,” IEEE J. Solid-State
low power applications in CMOS process. The future work is
Circuits, vol. 40, no. 4, pp. 853-861, Apr.2005.
to design an efficient Content Addressable Memory (CAM)
[10]O. Tyschenko and A. Sheikholeslami, “Matchline sensing
for System-On-Chip. Designed CAM is optimized in terms of
using matchline stability in content addressable
low power, minimum clock cycles for single operation, less
memorys(CAM),” IEEE J. Solid- State Circuits, vol. 43, no. 9,
latency search function, reduces delay for sensing address and
pp. 1972- 1981, Sep. 2008.
robust against process variation.
[11]A.T.Do, S. S. Chen, Z. H. Kong, and K.S. Yeo, “A low
REFERENCES
power CAM with efficient power and delay trade-off,” in
[1]H. Miyatake, M. Tanaka, and Y. Mori,“A design for high-
Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), 2011, pp. 2573-
speed low power CMOS fully parallel content - Addressable
2576.
memory macros,” IEEE J. Solid- State Circuits, vol.36, no. 6,
[12]C.S. Lin, J.C. Chang and B.D. Liu, “A low power pre
pp. 956- 968, jun. 2001.
computation based fully parallel content addressable
[2]S. Baeg, “Low-power ternary content Addressable memory
memory,” IEEE Journal of Solid-State Circuits, vol. 38, no. 4,
design using a Segmented match line,” IEEE Trans. Circuits
pp. 654-662, April 2003.
Syst. I, Reg. Papers, vol. 55, no. 6,pp. 1485-494, Jul. 2008.
[13]I. Y.-L. Hsiao, D.-H.Wang, and C.-W. Jen, “Power
[3]I. Arsovski and A. Sheikholeslami, “A mismatch-dependent
modeling and low-power design of content addressable
power allocation technique for match-line sensing in content
memories,” in Proc. IEEE Int. Symp. Circuits and Systems,
addressable memories,” IEEE J. Solid State Circuits, vol. 38,
vol. 4, 2001, pp. 926–929.
no. 11, pp. 1958- 1966, Nov. 2003.
[14]I. Arsovski, T. Chandler, and A. Sheikholeslami, “A
[4]K. Pagiamtzis and A. Sheikholeslami, “Content-
ternary content Addressable memory (TCAM) based on 4T
addressable memory (CAM)circuits and architectures: A
static storage and including a current-race sensing scheme,”
tutorial and survey, “IEEE J. Solid State Circuits, vol. 41, no.
IEEE J. Solid-State Circuits, vol. 38, pp. 155–158, Jan. 2003.

106
ISSN:-2349-3585 |www.ijrdt.org
Paper Title:-A NOVEL OPTIMIZED MEMORY ARCHITECTURE FOR SYSTEM ON CHIP

[15] T. Matsuda and K. Matsuda, “A new protocol processing


architecture for high-speed networks,” in Proc. IEEE
GLOBECOM, Nov. 1996, pp.798–803.

107
ISSN:-2349-3585 |www.ijrdt.org

Potrebbero piacerti anche