Sei sulla pagina 1di 19

----------------------------------------------------------------------------------

-- Company:

-- Engineer:

-- Create Date: 14:30:08 04/08/2019

-- Design Name:

-- Module Name: PRAC3 - Behavioral

-- Project Name:

-- Target Devices:

-- Tool versions:

-- Description:

--

-- Dependencies:

--

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.NUMERIC_STD.ALL;

use IEEE.std_logic_arith.all;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating

-- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;
entity PRAC3 is

Port ( clk : in STD_LOGIC;

Rx : in std_logic;

orx: out std_logic;

salida: out STD_LOGIC_VECTOR (7 downto 0);

Ena : out STD_LOGIC_VECTOR (3 downto 0));

end PRAC3;

architecture Behavioral of PRAC3 is

signal aux1 : std_logic_vector(7 downto 0);

component MATRIZZ is

Port ( clk_ds : in STD_LOGIC;

ABC : in std_logic_vector (7 downto 0);

display : out STD_LOGIC_VECTOR (7 downto 0);

Enable1 : out STD_LOGIC_VECTOR (3 downto 0));

end component;

component UART is

port (

i_Clk : in std_logic;

i_RX_Serial : in std_logic;

o_RX_DV : out std_logic;

o_RX_Byte : out std_logic_vector(7 downto 0)

);

end component;

begin

u1 : UART port map (i_Clk =>


clk,i_Rx_Serial=>Rx,o_Rx_Byte=>aux1,o_Rx_Dv=>orx);

u2 : MATRIZZ port map (clk_ds=>clk, ABC=>aux1,display=>salida , Enable1


=>Ena);

end Behavioral;
----------------------------------------------------------------------------------

-- Company:

-- Engineer:

--

-- Create Date: 14:34:44 04/08/2019

-- Design Name:

-- Module Name: UART - Behavioral

-- Project Name:

-- Target Devices:

-- Tool versions:

-- Description:

--

-- Dependencies:

--

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

--

----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use ieee.numeric_std.all;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating

-- any Xilinx primitives in this code.


--library UNISIM;

--use UNISIM.VComponents.all;

entity UART is

generic (

g_CLKS_PER_BIT : integer := 115 -- Needs to be set correctly

);

port (

i_Clk : in std_logic;

i_RX_Serial : in std_logic;

o_RX_DV : out std_logic;

o_RX_Byte : out std_logic_vector(7 downto 0)

);

end UART;

architecture rh of UART is

type t_SM_Main is (s_Idle, s_RX_Start_Bit, s_RX_Data_Bits,

s_RX_Stop_Bit, s_Cleanup);

signal r_SM_Main : t_SM_Main := s_Idle;

signal r_RX_Data_R : std_logic := '0';

signal r_RX_Data : std_logic := '0';

signal r_Clk_Count : integer range 0 to g_CLKS_PER_BIT-1 := 0;

signal r_Bit_Index : integer range 0 to 7 := 0; -- 8 Bits Total

signal r_RX_Byte : std_logic_vector(7 downto 0) := (others => '0');

signal r_RX_DV : std_logic := '0';

begin

-- Purpose: Double-register the incoming data.

-- This allows it to be used in the UART RX Clock Domain.

-- (It removes problems caused by metastabiliy)

p_SAMPLE : process (i_Clk)


begin

if rising_edge(i_Clk) then

r_RX_Data_R <= i_RX_Serial;

r_RX_Data <= r_RX_Data_R;

end if;

end process p_SAMPLE;

-- Purpose: Control RX state machine

p_UART_RX : process (i_Clk)

begin

if rising_edge(i_Clk) then

case r_SM_Main is

when s_Idle =>

r_RX_DV <= '0';

r_Clk_Count <= 0;

r_Bit_Index <= 0;

if r_RX_Data <= '0' then -- Start bit detected

r_SM_Main <= s_RX_Start_Bit;

else

r_SM_Main <= s_Idle;

end if;

-- Check middle of start bit to make sure it's still low

when s_RX_Start_Bit =>

if r_Clk_Count <= (g_CLKS_PER_BIT-1)/2 then

if r_RX_Data <= '0' then

r_Clk_Count <= 0; -- reset counter since we found the middle

r_SM_Main <= s_RX_Data_Bits;

else

r_SM_Main <= s_Idle;


end if;

else

r_Clk_Count <= r_Clk_Count + 1;

r_SM_Main <= s_RX_Start_Bit;

end if;

-- Wait g_CLKS_PER_BIT-1 clock cycles to sample serial data

when s_RX_Data_Bits =>

if r_Clk_Count < g_CLKS_PER_BIT-1 then

r_Clk_Count <= r_Clk_Count + 1;

r_SM_Main <= s_RX_Data_Bits;

else

r_Clk_Count <= 0;

r_RX_Byte(r_Bit_Index) <= r_RX_Data;

-- Check if we have sent out all bits

if r_Bit_Index < 7 then

r_Bit_Index <= r_Bit_Index + 1;

r_SM_Main <= s_RX_Data_Bits;

else

r_Bit_Index <= 0;

r_SM_Main <= s_RX_Stop_Bit;

end if;

end if;

-- Receive Stop bit. Stop bit = 1

when s_RX_Stop_Bit =>

-- Wait g_CLKS_PER_BIT-1 clock cycles for Stop bit to finish

if r_Clk_Count < g_CLKS_PER_BIT-1 then

r_Clk_Count <= r_Clk_Count + 1;

r_SM_Main <= s_RX_Stop_Bit;

else
r_RX_DV <= '1';

r_Clk_Count <= 0;

r_SM_Main <= s_Cleanup;

end if;

-- Stay here 1 clock

when s_Cleanup =>

r_SM_Main <= s_Idle;

r_RX_DV <= '0'

when others =>

r_SM_Main <= s_Idle;

end case;

end if;

end process p_UART_RX;

o_RX_DV <= r_RX_DV;

o_RX_Byte <= r_RX_Byte;

end rh;

----------------------------------------------------------------------------------

-- Company:

-- Engineer:

--

-- Create Date: 14:37:59 04/08/2019

-- Design Name:

-- Module Name: MATRIZZ - Behavioral

-- Project Name:

-- Target Devices:

-- Tool versions:

-- Description:

--

-- Dependencies:
--

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

--

----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.NUMERIC_STD.ALL;

use IEEE.std_logic_arith.all;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating

-- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity MATRIZZ is

Port ( clk_ds : in STD_LOGIC;

ABC : in std_logic_vector (7 downto 0);

display : out STD_LOGIC_VECTOR (7 downto 0);

Enable1 : out STD_LOGIC_VECTOR (3 downto 0));

end MATRIZZ;
architecture Behavioral of MATRIZZ is

-- 12Mzh/24000=500Hz

constant max_refresh_count: INTEGER := 24000;

signal refresh_count: INTEGER range 0 to max_refresh_count;

signal refresh_state: STD_LOGIC_VECTOR(1 downto 0) := "00";

signal display_sel: STD_LOGIC_VECTOR(3 downto 0) := (others => '0');

signal disp_1,disp_2,disp_3,disp_4 : std_logic_vector (7 downto 0);

begin

with ABC select

disp_1 <= "11111111" when "00110000", --0

"00000000" when "00110001", --1

"10001111" when "00110010", --2

"10010001" when "00110011", --3

"11111000" when "00110100", --4

"11110001" when "00110101", --5

"11111111" when "00110110", --6

"10000000" when "00110111", --7

"11111111" when "00111000", --8

"11110000" when "00111001", --9

"11111111" when others;

with ABC select

disp_2 <= "10000001" when "00110000", --0

"00000000" when "00110001", --1

"10001001" when "00110010", --2

"10010001" when "00110011", --3


"00001000" when "00110100", --4

"10010001" when "00110101", --5

"10010001" when "00110110", --6

"10000000" when "00110111", --7

"10010001" when "00111000", --8

"10010000" when "00111001", --9

"11111111" when others;

with ABC select

disp_3 <= "10000001" when "00110000", --0

"00000000" when "00110001", --1

"10001001" when "00110010", --2

"10010001" when "00110011", --3

"00001000" when "00110100", --4

"10010001" when "00110101", --5

"10010001" when "00110110", --6

"10000000" when "00110111", --7

"10010001" when "00111000", --8

"10010000" when "00111001", --9

"11111111" when others;

with ABC select

disp_4 <= "11111111" when "00110000", --0

"11111111" when "00110001", --1

"11111001" when "00110010", --2

"11111111" when "00110011", --3

"11111111" when "00110100", --4

"10011111" when "00110101", --5

"10011111" when "00110110", --6

"11111111" when "00110111", --7

"11111111" when "00111000", --8


"11111111" when "00111001", --9

"11111111" when others;

Enable1 <= display_sel;

gen_clock: process(clk_ds)

begin

if (rising_edge(clk_ds)) then

-- contador 500Hz (para refresco del display)

if refresh_count < max_refresh_count then

refresh_count <= refresh_count + 1;

else

refresh_state <= refresh_state + 1;

refresh_count <= 0;

end if;

end if;

end process;

show_display: process(refresh_state,disp_1, disp_2, disp_3, disp_4)

begin -- seleccion del display

case refresh_state is

when "00" =>

display_sel <= "1110"; -- display 0

when "01" =>

display_sel <= "1101"; -- display 1

when "10" =>

display_sel <= "1011"; -- display 2

when "11" =>


display_sel <= "0111"; -- display 3

when others =>

display_sel <= "1111";

end case;

-- mostrar digitos

-- pGFEDCBA

case display_sel is

when "1110" =>

display <= disp_4; -- 3

when "1101" =>

display <= disp_3; -- 2

when "1011" =>

display <= disp_2; -- 1

when "0111" =>

display <= disp_1; -- 0

when others =>

display <= "00000000";

end case;

end process;

end Behavioral;

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
++++++++++++++++++++++#

# This file is a .ucf for ElbertV2 Development Board #

# To use it in your project : #

# * Remove or comment the lines corresponding to unused pins in the project #

# * Rename the used signals according to the your project #


#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
++++++++++++++++++++++#

#*******************************************************************************
*******************************************************************#

# UCF for ElbertV2 Development Board


#

#*******************************************************************************
*******************************************************************#

CONFIG VCCAUX = "3.3" ;

# Clock 12 MHz

NET "Clk" LOC = P129 | IOSTANDARD = LVCMOS33 | PERIOD = 12MHz;

################################################################################
####################

# VGA

################################################################################
####################

# NET "HSync" LOC = P93 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "VSync" LOC = P92 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Blue[2]" LOC = P98 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Blue[1]" LOC = P96 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Green[2]" LOC = P102 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Green[1]" LOC = P101 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Green[0]" LOC = P99 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Red[2]" LOC = P105 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Red[1]" LOC = P104 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Red[0]" LOC = P103 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
################################################################################
####################

# Micro SD Card

################################################################################
####################

# NET "CLK" LOC = P57 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "DAT0" LOC = P83 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "DAT1" LOC = P82 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "DAT2" LOC = P90 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "DAT3" LOC = P85 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "CMD" LOC = P84 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

################################################################################
####################

# Audio

################################################################################
####################

# NET "AUDIO_L" LOC = P88 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "AUDIO_R" LOC = P87 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

################################################################################
####################

# Seven Segment Display

################################################################################
####################

# NET "Display[0]" LOC = P117 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Display[1]" LOC = P116 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Display[2]" LOC = P115 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
# NET "Display[3]" LOC = P113 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Display[4]" LOC = P112 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Display[5]" LOC = P111 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Display[6]" LOC = P110 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Display[7]" LOC = P114 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Enable2" LOC = P124 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Enable1" LOC = P121 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "Enable0" LOC = P120 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

################################################################################
####################

# LED

################################################################################
####################

# NET "LED[0]" LOC = P46 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "LED[1]" LOC = P47 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "LED[2]" LOC = P48 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "LED[3]" LOC = P49 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "LED[4]" LOC = P50 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "LED[5]" LOC = P51 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "LED[6]" LOC = P54 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "LED[7]" LOC = P55 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

################################################################################
####################

# DP Switches

################################################################################
####################
# NET "DPSwitch[0]" LOC = P70 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |
DRIVE = 12;

# NET "DPSwitch[1]" LOC = P69 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "DPSwitch[2]" LOC = P68 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "DPSwitch[3]" LOC = P64 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "DPSwitch[4]" LOC = P63 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "DPSwitch[5]" LOC = P60 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "DPSwitch[6]" LOC = P59 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "DPSwitch[7]" LOC = P58 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

################################################################################
####################

# Switches

################################################################################
####################

# NET "Switch[0]" LOC = P80 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "Switch[1]" LOC = P79 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "Switch[2]" LOC = P78 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "Switch[3]" LOC = P77 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;

# NET "Switch[4]" LOC = P76 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |


DRIVE = 12;
# NET "Switch[5]" LOC = P75 | PULLUP | IOSTANDARD = LVCMOS33 | SLEW = SLOW |
DRIVE = 12;

################################################################################
####################

# GPIO

################################################################################
####################

#############################################

#######################################################

# HEADER P1

################################################################################
####################

NET "Ena[0]" LOC = P31 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "Ena[1]" LOC = P32 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "Ena[2]" LOC = P28 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "Ena3[3]" LOC = P30 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "orx[4]" LOC = P27 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P1[5]" LOC = P29 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P1[6]" LOC = P24 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P1[7]" LOC = P25 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

################################################################################
####################

# HEADER P6

################################################################################
####################

NET "salida[0]" LOC = P19 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "salida[1]" LOC = P21 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
NET "salida[2]" LOC = P18 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "salida[3]" LOC = P20 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "salida[4]" LOC = P15 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "salida[5]" LOC = P16 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "salida[6]" LOC = P12 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

NET "salida[7]" LOC = P13 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

################################################################################
####################

# HEADER P2

################################################################################
####################

NET "Rx" LOC = P10 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P2[1]" LOC = P11 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P2[2]" LOC = P7 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P2[3]" LOC = P8 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P2[4]" LOC = P3 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P2[5]" LOC = P5 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P2[6]" LOC = P4 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P2[7]" LOC = P6 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

################################################################################
####################

# HEADER P4

################################################################################
####################

# NET "IO_P4[0]" LOC = P141 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P4[1]" LOC = P143 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;
# NET "IO_P4[2]" LOC = P138 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P4[3]" LOC = P139 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P4[4]" LOC = P134 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P4[5]" LOC = P135 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P4[6]" LOC = P130 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P4[7]" LOC = P132 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

################################################################################
####################

# HEADER P5

################################################################################
####################

# Two input PINs of P5 Header IO_P5[1] and IO_P5[7].

# NET "IO_P5[0]" LOC = P125 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P5[1]" LOC = P123 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12 |


PULLUP;

# NET "IO_P5[2]" LOC = P127 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P5[3]" LOC = P126 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P5[4]" LOC = P131 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P5[5]" LOC = P91 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P5[6]" LOC = P142 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12;

# NET "IO_P5[7]" LOC = P140 | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 12 |


PULLUP;

Potrebbero piacerti anche