Sei sulla pagina 1di 25

UNIVERSIDAD NACIONAL DE SAN AGUSTIN

Escuela Profesional de Ingeniería Electrónica


Convertidor AD con Realimentación

Sistemas de Control Digital


INTEGRANTES:

 Cruz Aique José Arturo


 Copa Apaza Edwin Nolberto
 Lazarte Paredes Miguel
 Marín Ortiz Juan

“Modulo Convertidor Análogo Digital con Realimentación”

DOCENTE:
ING. Oscar Salazar A.

Arequipa- Perú
2016

1
INDICE

1 INTRODUCCION………………………………………………………………………………….3

2 MATERIALES……………………………………………………………………………………..8

3 AYUDA…………………………………………………………………………………………….9

4 PROCESO DE CONEXIÓN DEL CONVERTIDOR ANALOGO DIGITAL CON


REALIMENTACION……………………………………………………………………………….10

5 ACTIVIDADES PREVIAS A LA REALIZACION DE LA PRÁCTICA……………………..13

6 PROCEDIMIENTO EXPERIMENTAL………………………………………………………….13

7 CUESTIONARIO…………………………………………………………………………………21

8 MEJORAS…………………………………………………………………………………………23

9 CONCLUCIONES…………………………………………………………………………………25

10 BIBLIOGRAFIA………………………………………………………………………………….25

2
1. INTRODUCCIÓN

Un conversor o convertidor de señal analógica a digital(Conversor Analógico


Digital, CAD; Analog-to-Digital Converter, ADC) es un dispositivo electrónico capaz de
convertir una señal analógica, ya sea de tensión o corriente, en una señal digital mediante
un cuantificador y codificándose en muchos casos en un código binario en particular. Donde
un código es la representación unívoca de los elementos, en este caso, cada valor numérico
binario hace corresponder a un solo valor de tensión o corriente.

En la cuantificación de la señal se produce pérdida de la información que no puede ser


recuperada en el proceso inverso, es decir, en la conversión de señal digital a analógica y
esto es debido a que se truncan los valores entre 2 niveles de cuantificación, mientras
mayor cantidad de bits mayor resolución y por lo tanto menor información perdida.

1.1 TIPOS DE CONVERSORES ADC


Los más comunes son:
1.1.1 Aproximaciones sucesivas

Los convertidores A/D por aproximaciones sucesivas son los más usados comúnmente. La
operación de estos convertidores está basada en "n" comparaciones sucesivas de la señal
analógica con el voltaje de realimentación Vf. Esto es similar al proceso de pesado de una
masa en el cual la cantidad desconocida es comparada con la cantidad de referencia.

La primera comparación determina si Vin es mayor o menor que ½Vmax, siendo Vmax la
máxima tensión de entrada del dispositivo; el siguiente paso determina si V in es mayor que
½(½Vmax) en el área que anteriormente se seleccionó, y así sucesivamente. Cada
operación tiene un factor de 2.

En la figura 3 se ilustra la operación de un convertidor A/D por aproximaciones sucesivas de


3 bits. Las líneas gruesas representan las transiciones del convertidor para llegar al número
binario 100 (bit más significativo, el 1) hasta el número binario 101. Es necesarios n
períodos de reloj para completar el ciclo del convertidor.

En la figura 3, se representa el diagrama de bloques de un convertidor A/D por


aproximaciones sucesivas. Este es esencialmente un circuito realimentado similar a los
convertidores A/D de rampa, en el que la salida digital es convertida a analógica por medio
de un convertidor digital-analógico (D/A) en el lazo de realimentación, dando por resultado
que Vf sea comparada con Vin. La adición de un registro de desplazamiento y de un
programador lógico habilita al circuito para la decisión a tomar en el próximo paso.

3
1 111
1/8 Vmáx
1 110
3/4 Vmáx 0
110
1/8 Vmáx
100
1
101
1 1/2 Vmáx 0 3/4 Vmáx 5/8 Vmáx
100
0
100
5/8 Vmáx
000 MSB
1
011
3/8 Vmáx
1 010
1/2 Vmáx 1/4 Vmáx 0
0 010
3/8 Vmáx
000
1
001
0 1/4 Vmáx
1/8 Vmáx
000
0
000
1/8 Vmáx

Figura 5a. Diagrama de transición de un convertidor de tres bits.

RELOJ

REGISTRO
DE
APROXIMACIONES
SUCESIVAS

Vin
SALIDA
DIGITAL

COMPARADOR

CONVERTIDOR
D/A

Figura 5b. Diagrama de bloques de un convertidor Analógico-Digital por


Aproximaciones Sucesivas.

Figura 5. Convertidor Analógico-Digital por Aproximaciones Sucesivas

1.1.2 RAMPA SIMPLE

Estos utilizan una rampa de tensión linealmente ascendente para convertir un voltaje
desconocido en un intervalo de tiempo equivalente. Al ser determinado este tiempo, se tiene
con precisión el voltaje desconocido. En estos convertidores el número de ciclos del reloj
que ocurren en un intervalo de tiempo se convierte en la información digital, por ejemplo
BCD (ver figura 3).

En la figura 5 se observa que el generador de rampa está constituido por el amplificador


operacional A1 con el condensador "C" en el lazo de realimentación y cuya entrada es por la
resistencia "R". El operacional A1 hace las veces de comparador.

1.1.3 DOBLE RAMPA


Los convertidores de doble rampa integran una señal desconocida, en un período de tiempo
determinado por un contador de ciclos de reloj. Esto origina una rampa ascendente que se
devuelve a cero integrando una señal de referencia cuya polaridad es opuesta a la de la
señal de entrada. El período de tiempo requerido por el integrador para realizar el retorno a
cero es proporcional a la magnitud promedio de la señal de entrada en el período de
integración.
La figura 6 representa un diagrama de bloques de un convertidor A/D de doble rampa y la
señal de salida del integrador. En la figura 6, se observa que la conversión comienza
aplicando la señal de entrada Vin al integrador, el cual ha sido colocado previamente en
4
cero, y al mismo tiempo el contador comienza su operación partiendo de cero. La
integración continúa (rampa lineal ascendente) hasta que el contador alcanza su número
máximo, en este momento, la entrada del integrador es conmutada de V in a –Vref, y el
contador es colocado nuevamente en cero para comenzar el conteo de la segunda rampa.
Esta vez la salida del integrador comienza a descender en rampa lineal hasta cero ya que –
Vref tiene polaridad inversa a Vin. Cuando el integrador llega a cero, el contador se detiene
y el número almacenado en él es una medida del tiempo que se empleó para llegar a cero,
siendo éste a la vez proporcional a la magnitud promedio de la señal de entrada Vin.

Los convertidores de doble rampa integran una señal desconocida, en un período de tiempo
determinado por un contador de ciclos de reloj. Esto origina una rampa ascendente que se
devuelve a cero integrando una señal de referencia cuya polaridad es opuesta a la de la
señal de entrada. El período de tiempo requerido por el integrador para realizar el retorno a
cero es proporcional a la magnitud promedio de la señal de entrada en el período de
integración.
La figura 6 representa un diagrama de bloques de un convertidor A/D de doble rampa y la
señal de salida del integrador. En la figura 6, se observa que la conversión comienza
aplicando la señal de entrada Vin al integrador, el cual ha sido colocado previamente en
cero, y al mismo tiempo el contador comienza su operación partiendo de cero. La
integración continúa (rampa lineal ascendente) hasta que el contador alcanza su número
máximo, en este momento, la entrada del integrador es conmutada de V in a –Vref, y el
contador es colocado nuevamente en cero para comenzar el conteo de la segunda rampa.
Esta vez la salida del integrador comienza a descender en rampa lineal hasta cero ya que –
Vref tiene polaridad inversa a Vin. Cuando el integrador llega a cero, el contador se detiene
y el número almacenado en él es una medida del tiempo que se empleó para llegar a cero,
siendo éste a la vez proporcional a la magnitud promedio de la señal de entrada Vin.
C

R
DETECTO R DE
V in
CRUCE POR CERO

IN T E G R A D O R

R ELO J

L O G IC A P O L A R ID A D
DE STATUS
IN IC IO D E CONTRO L
C O N V E R S IO N SO BRERANGO

CO NTADO R

S A L ID A
D IG IT A L

F i g u r a 4 a . D ia g r a m a d e b l o q u e s d e u n c o n v e r t i d o r A / D d e d o b l e r a m p a

S A L ID A
DEL
IN T E G R A D O R

V in 1

V in -V re f
m = m =
RC V in 2 RC

V in 3

T2
T1 T2 T IE M P O
T2

T 1 : F IJ O

F i g u r a 4 b . S a li d a d e l i n t e g r a d o r c o m o f u n c ió n d e l t ie m p o e n u n c o n v e r t i d o r
A / D d e d o b le r a m p a .

1.2.1 CARACTERÍSTICAS DE LOS ADC


F i g u r a 4 . C o n v e r t id o r A n a l ó g i c o - D i g i t a l d e d o b l e r a m p a

La data digital es un número binario que se define considerando desde el bit de mayor peso
(MSB, More Significative Bit) al bit de menor peso (LSB, Least Significative Bit).

5
Figura 9.-Data digital
Cada conversor ADC ó DAC, esta determinado por una función de transferencia ideal de
entrada - salida, que muestra la equivalencia entre el mundo digital y el análogo.

Figura 10.-Relación entre la señal analógica y digital

1.2.2 CONVERSOR RAMPA DIGITAL


Una de las formas más fáciles de implementar un conversor A/D emplea un contador binario
como registro y permite que el reloj incremente el estado del contador un paso a la vez hasta
que Vax = Va. Este tipo de conversor recibe el nombre de ADC de rampa digital debido a que
la forma de onda en Vax es una rampa.
La figura 15 muestra un diagrama de bloques de un ADC de rampa digital, el cual contiene un
contador, un DAC, un comparador analógico y una compuerta ANDde control. La salida del
comparador también proporciona la señal de fin deconversión, activa en bajo, FDC. Si se
supone que VA es positivo, la operación del conversor es la siguiente:

Figura 15.-Diagrama de bloques de un ADC de rampa digital

6
 Se aplica el pulso Inicio para poner el contador a cero. El estado alto de Inicio también
inhibe el paso de los pulsos de reloj por la compuerta AND, y de ahí, hacia el contador.
 Cuando las entradas del DAC son cero, la salida de éste es Vax = O V.
 Dado que Va > Vax, la salida del comparador, FDC está en alto.
 Cuando Inicio regresa el estado bajo, se habilita la compuerta AND y los pulsos de
reloj entonces pasan hacia el contador.
 A medida que cambia el estado del contador, la salida del DAC, Vax, aumenta un paso
a la vez.
 Este proceso continúa hasta que Vax alcanza un paso que excede a Va por una
cantidad igual o mayor que Vt. En ese momento FDC cambia hacia el estado bajo e
inhibe el flujo de pulsos hacia el contador, motivo por el cual, éste deja de contar.
 Al terminar el proceso de conversión, lo cual es señalado por la transición de la señal
FDC, el contenido del contador es la representación digital de Va.
 El contador retiene el valor digital hasta que el siguiente pulso Inicio da comienzo otra
vez al proceso de conversión.

7
2 MATERIALES Y EQUIPOS A UTILIZAR:
Para la implementación del contador análogo digital con realimentación se utilizo los
siguientes materiales:

Aquí algunas preguntas frecuentes para realizar esta práctica:(Surgieron durante el


desarrollo de la misma)

8
3 AYUDA
Simulación (Proteus)
En el presente trabajo se realizó la simulación en proteus 8.3 y en un sistema operativo
Windows 7
Probamos en proteus 8.0 pero lamentablemente la simulación no corría.
¿De dónde obtengo los equipos?
-La fuente de voltaje bipolar, tiene que ser +15/-15V. Para esto solo necesitaremos de dos
fuentes, pero también necesitaremos una fuente de 5V.
Es por esto que estaremos obligados a pedir una fuente del laboratorio de electrotecnia, ya
que el laboratorio de control solo cuenta con dos fuentes de alimentación.
Realizamos un video en el que se explica como obtener el voltaje +15/-15V y 5V
Desarmadores
Es necesario llevar desarmadores; Tanto para ver el funcionamiento del circuito, como para
variar los potenciómetros. O nos podemos prestar una caja de desarmadores del laboratorio
de control
Generador de Funciones
Es de vital importancia obtener un generador de funciones en óptimas condiciones, es por
ello que nosotros nos prestamos el generador de funciones que se encuentra en el
laboratorio de control, ya que este es relativamente nuevo.
De lo contrario, nos tendríamos que prestar un generador de funciones del laboratorio de
electrotecnia, pero elegir uno que funcione correctamente.
Multímetro
A la hora de realizar las mediciones es recomendable colocar primero el multímetro en
posición a medir, y después encender el equipo. Para evitar errores en la medición de la
practica
Osciloscopio
Este equipo lo tendremos que pedir del laboratorio de electrotecnia, los resultados obtenidos
fueron buenos.
¿En qué laboratorio realizarlo?
Este es el principal inconveniente que se nos presentó a la hora de realizar el presente
trabajo, ya que el laboratorio de control solo cuenta con dos fuentes de alimentación y
nosotros necesitamos tres, es por ello que tendremos las siguientes opciones:

 Prestarnos una fuente del laboratorio de electrotecnia y llevarlo al laboratorio de


control
 Llevar el trabajo al laboratorio de electrotecnia y realizarlo ahí
 Con tres pilas de 1.5 V podremos obtener una fuente de 5V
Nosotros manejamos las tres opciones, aunque se nos presento una cuarta: la cual es
prestarnos o llevar una fuente switching y de aquí sacar 5V, también hicimos un video,
donde podrán ver como se obtiene el respectivo voltaje.
Errores en el circuito
9
Nosotros encontramos que el equipo no funcionaba, es por ello que tuvimos que cambiar los
diversos integrados hasta encontrar la falla, esta fue en el DAC

4 PROCESO DE CONEXIÓN DEL CONVERTIDOR ANALOGO DIGITAL CON


REALIMENTACION

A continuación describiremos el proceso de conexión:


Elementos
- Una fuente de alimentación.

- Un generador de señales.

- Convertidor análogo digital con realimentación.


Calibración
1. Para la alimentación del CONVERTIRIDOR ANALOGO DIGITAL es necesario una
fuente de +15v y -15V. A partir de dos fuentes independientes reguladas a 15 voltios,
uniremos el VDD de una de ellas con la VSS de la otra, quedando así las puntas
restantes con +15 y -15 voltios, las puntas unidas serán nuestra nueva tierra.

2. En el generador de señales seleccionaremos la señal cuadrada (SQV) dando clic en


SET FUNC y con las flechas de selección moveremos hasta hallar la señal
especificada con la frecuencia de 15 Hz y 5.2v. El generador posee dos terminales
las cuales serán conectadas al convertidor análogo digital con realimentación.

10
3. Una fuente de 5 voltios fija.

Conexión:
1. Primero conectaremos +15 y -15 voltios a las terminales indicadas con +15v y -15v
en el convertidor al igual que 5v y a tierra las dos puntas restantes unidas (lee el
apartado calibración).

+15v
5v
-15v

Las puntas restantes de las fuentes unidas y de la fuente de 5v, se conectaran a


tierra.

11
Tierra

2. De igual manera el generador de señales se contactará con las terminales indicadas


Convertidor y la restante a tierra.

Generador

Importante:
Hay que terner cuidado en la conexión, puesto que podríamos dañar el equipo. Una vez
completada la conexión prenderemos la fuente y bajaremos el switch del convertidor y de
acuerdo a la resistencia presente en los trimpots, esta marcara un valor en los leds.

Trimpots

12
5 Actividades Previas a la realización de la práctica
1 El alumno deberá realizar la lectura de la práctica
2 Se realizó la lectura de la práctica, junto con la simulación en Proteus

6 Procedimiento Experimental
1) Eliminamos la conexión entre el comparador y la terminal 2 de la NAND

13
3) Para realizar estas pruebas, elimine la conexión entre el comparador y la terminal 2 de la
NAND, conecte la terminal 2 de la NAND a 5V y posteriormente compruebe:

En la simulación
Tenemos que abrir el switch.

En la practica
Como Observamos tenemos que bajar el switch, con esto logramos eliminar la conexión
entre el comparador y la terminal 2 de la NAND.

- La operación NAND como inversor


Observamos que cuando el switch está abierto, entra a la
compuerta lógica NAND dos 1 lógicos y nos muestra a la salida
un 0 lógico, De esta manera trabaja como inversor.
Como la salida siempre es 0 lógico, entonces el contador
nunca dejara de contar.

14
- La operación correcta del contador en forma ascendente
Cuando el switch está en la posición que se muestra en la
figura, el contador no para ya que la compuerta nand siempre
mostrara un 0 lógico en su salida y de esta manera nos permiten
ver el correcto funcionamiento del contador.

- El contador al culminar el conteo, automáticamente


comienza de nuevo y sigue en un bucle infinito

-La generación de la señal rampa discreta en la salida LM741


Para esto utilizamos un osciloscopio, prestado en el laboratorio de electrotecnia
Observamos, mientras el contador cuenta y al acabar retorna al inicio del conteo y sigue
indeterminadamente, el display nos muestra en forma gráfica este proceso y en osciloscopio
lo vemos también de manera gráfica:
Observemos:

De la figura 1 a la figura 4 el voltaje va subiendo, y en la figura 5 cae abruptamente, debido


a que el contador empieza el conteo de nuevo

15
16
Reconectamos la entrada 2 de la compuerta NAND a la salida de nuestro comparador

- La operación del comparador para diferentes niveles de entrada en Ve( Potenciometro


P1) considerando un rango de 0 a 10V

Rango 0-10V
1.8V

4.2V

17
7.5v

10V

Cuando los dos valores mostrados en los multímetros se igualen, el comparador dejara de
contar y el display se detendrá en el conteo
Cuando el display se detiene en el conteo, la gráfica obtenida en el osciloscopio es la
siguiente:

18
Pruebe el funcionamiento del circuito
Vemos que el funcionamiento es el adecuado, ya que el display está en funcionamiento

5 Genere la tabla y la curva de cuantización, indicar el valor del voltaje de entrada


analógico (Ve), el código binario generado por el circuito y el código teórico

19
Grafica

-Cómo podemos observar en la gráfica anterior, existe un grado de error, ya que la curva no
es exactamente lineal; uno de los factores de este problema sería el voltaje mínimo que
proporciona el multímetro a la hora de comprobar dichos valores
-A la hora de conversión, existe un grado de inexactitud; Esto debido a que la señal brindada
por el “Generador de Señales” no siempre tan exacta.

20
7.-CUESTIONARIO.

Figura 51.-Circuito en funcionamiento


1.-Genere la tabla y curva de cuantización tomando 16 valores indicando el Ve y el
código binario generado por el circuito. Estas mediciones deberán estar distribuidas
en todo el rango de voltaje de entrada.
Para la adquisición de estos valores hemos tomado la resistencia variable con una
valor de
TABLA DE CUANTIZACIÓN

Voltaje de Código Binario Código Decimal


entrada Ve
0.35v 00000101 5
0.62v 00001010 10
1.29v 00010000 16
2.24v 00011001 25
3.1v 00100110 38
4.04v 00101011 43
5.06v 00110110 54
6.86v 01001001 73
8.62v 01011100 92
9.45v 01101110 110
10.65v 01110000 112
11.29v 01111010 122
11.92v 01111101 125
13.81v 10010001 145
14.48v 10010110 150
15.03v 10101011 171

21
2.-Explique de que depende el tiempo que le toma al circuito realizar la conversión
El tiempo de conversión del circuito depende de:

 la frecuencia de la señal de reloj emitida por el generador de señales


 El número de bits de conversión, representados por la barra de LEDS.
se puede calcular por la fórmula:

3.-Calcule el tiempo mínimo y máximo que le tomará al circuito realizar la conversión.


Se calcula mediante la fórmula que habíamos establecido anteriormente

Debido a que nuestro circuito tendrá un número de bits de 8 y usaremos una señal de reloj
de frecuencia de30Hz el tiempo máximo de conversión será

( 28−1 ) x 1 = 8.5s
30
Para la comprobación práctica de este valor teórico utilizaremos un cronómetro y mediremos
el tiempo que demoran en encender los 8 bits.
El tiempo mínimo se calculará de forma análoga.
4.-Indique dos formas en las cuáles se puede reducir el tiempo promedio de
conversión.
La forma más sencilla de reducir el tiempo de conversión es aumentar la frecuencia de la
señal de reloj de nuestro circuito. Esto se puede variar directamente en el generador de
señales aunque hay que tener cuidado si se elige una frecuencia muy alta el proceso será
demasiado rápido para la vista humana y se perderá de vista el proceso de conteo. Otra
forma de reducir el tiempo de conteo sería el de reducir el número de bits que debe convertir
nuestro DAC ya que cada bit de más prácticamente duplica el tiempo de conversión. Esto no
22
es muy práctico ya que para cubrir el rango de voltaje que nos pide la guía es necesaria
cierta cantidad de bits.
5.- ¿Qué sucedería si el voltaje de entrada fuera mayor que el voltaje máximo
alcanzado por la rampa discreta?
El voltaje de la rampa jamás alcanzaría al voltaje de entrada así que el número de bits
necesarios para la conversión no serían suficientes ya que serían mayor a 28 osea 256,
lo que provocaría que el contador se reinicie indefinidamente.

8 MEJORAS

 El convertidor analógico digital con realimentación no funcionaba y empezamos a probar


continuidad en las patitas que conectan la alimentación la señal de reloj y cambiando
algunos circuitos integrados hasta que por descarte dimos con el problema que fue el
circuito integrado DAC 0808.
C.I. DAC0808

El DAC0808 es un convertidor de 8 bits monolítica de digital a analógico (DAC ) con


una salida de escala de tiempo de asentamiento actual llena de 150 ns , mientras
que sólo el 33 mW disipando con suministros ± 5V . No se requiere ninguna corriente
de referencia ( IREF ) de recorte para la mayoría de aplicaciones ya que la corriente
de salida a gran escala es típicamente ± 1 LSB de 255 IREF / 256 . Precisiones
relativas de mejor que ± 0,19 % aseguran monotonicidad 8 -bit y la linealidad
mientras que la corriente de salida de nivel cero de menos de 4 mu ofrece 8 -bit
precisión cero para IREF > = 2 mA. Las corrientes de alimentación del DAC0808 es
independiente de códigos de bits , y exhibe las características del dispositivo
esencialmente constante en todo el rango de tensión de alimentación.

 Tuvimos problemas con la conexión para encender el proyecto ya que no había una guía
de como encenderlo hicimos un video indicando la conexión de los cables para el
correcto funcionamiento.

 En la parte del procedimiento experimental de la figura 5.2 averiguamos para qué sirve el
interruptor que es para poder conectar el osciloscopio para que nos muestre una señal
rampa.

23
Se realizó las actividades previas junto con el procedimiento experimental

9.-CONCLUSIONES.
-Se observó de manera didáctica el proceso de conversión de una señal analógica a digital.
-Hemos construido un convertidor analógico-digital realimentado empleando un DAC0808
(convertidor digital-analógico).

24
-Se ha descrito y comprobado comportamiento de los componentes y las distintas etapas
de funcionamiento del circuito conversor.
-Se comprobado los conceptos básicos de la conversión analógico-digital.
-Hemos aprendido a relacionar los conceptos teóricos de la conversión analógica digital a la
práctica evaluando sus implicaciones físicas reales.
-Hemos obtenido datos que respaldan los valores teóricos.

10.-BIBLIOGRAFÍA
http://lorien.die.upm.es/~macias/docencia/datasheets/ds/74ls393.pdf
https://www.fairchildsemi.com/datasheets/LM/LM2901.pdf
https://www.physics.rutgers.edu/ugrad/327/MC1408-8_3.pdf
http://www.ee.ryerson.ca/~jkoch/courses/ele300/Data/LM741.pdf
http://web.mit.edu/6.111/www/f2009/handouts/labs/74LS00.pdf
http://es.slideshare.net/henry1860/8-2-convertidoranalogicodigital-1
ftp://ftp.ehu.es/cidira/dptos/depjt/Instrumentacion/BK-
ANGEL/03_ConvertidoresADC_DAC/Convertidores.pdf
http://www0.unsl.edu.ar/~interfases/labs/lab05.pdf
http://www.ing.unlp.edu.ar/islyd/Tema%2011%20Conversores%202008%20BYN.pdf
https://profejuandotcom.files.wordpress.com/2014/02/convertidor-adc.pdf

25

Potrebbero piacerti anche