Sei sulla pagina 1di 14

ESCUELA POLITÉCNICA NACIONAL

FACULTAD DE INGENIERÍA ELÉCTRICA Y


ELECTRÓNICA

LABORATORIO DE SISTEMAS DIGITALES


TRABAJO PREPARATORIO
PRACTICA Nº8

FREDDY KEVIN RAMÓN SOSORANGA


DIEGO GABRIEL MANCHENO BARBA

GR2 - #4 | 2017-B
Flip – Flops multivibradores biestables

1. OBJETIVOS

 Familiarizar al estudiante con la utilización y funcionamiento de circuitos de dispositivos del tipo


de arreglos lógicos programables que realizan operaciones aritméticas binarias y
funciones lógicas.

1. DESARROLLO

1.1. Consultar la distribución de pines y la tabla de funcionamiento de los Circuitos


integrados: 7476, 74107, 74109, 74112. Esta información servirá para la elaborar el
circuito para esta Práctica.

Fig.1 Circuito Integrado 7476

Fig.2 Circuito Integrado 74107

Fig.3 Circuito Integrado 74109


Fig.4 Circuito Integrado 74112

1.2. Diseñar, utilizando solamente compuertas NOR, un flip – flop S-R síncrono
activando con señal reloj CLK en estado alto y que tenga preset y clear.

Tabla de verdad de un Flip-Flop S-R común

Preset Reset Clock S R Q<t+1> Q´<t+1>


0 1 x x x 1 0
1 0 x x x 0 1
Condición
1 1 x x x Prohibida
0 0 0 x x Q<t> Q´<t>
0 0 1 0 0 Q<t> Q´<t>
0 0 1 1 0 1 0
0 0 1 0 1 0 1
Condición
0 0 1 1 1 Prohibida

Fig.5 Flip flop S-R Síncrono

1.3. Con el circuito integrado 7476, o algún equivalente, diseñar un flip – flop
tipo D y tipo T.
Fig.6 Flip flop tipo D

Fig.6 Flip flop tipo T

1.4. Con el circuito integrado 7476, o algún equivalente, en configuración de flip


– flop tipo J – K, diseñar un contador asincrónico ascendente según la
siguiente tabla. Incluya el circuito de borrado manual además presentarlo en
display

Modulo 18
Fig.7 Contador asíncrono

1.5. Elaborar código en VHDL que permita implementar un flip – flop S-R
Asincrónico.
Fig.8 Flip flop S-R asíncronic vhdl

Fig.9 Test del flip flop S-R asincrónico


Fig.10 Prueba en gtkwave

1.6. Elaborar código en VHDL que permita implementar un flip – flop JK con
preset y clear.

J K CLK Q<t+1>
0 0 Flancos + y Q<t>
-
1 0 Flancos + y 1
-
0 1 Flancos + y 0
-
1 1 Flancos + y Q´<t>
- cambia

Fig.11 Flip flop JK en vhdl


Fig.12 Prueba en gtkwave

1.7. Elaborar código en VHDL que permita implementar un flip – flop tipo D y
tipo T.

Fig.13 Flip flop D en vhdl

Fig.14 Test del Flip flop D en vhdl


Fig.15 Flip flop D en gtkwave

Fig.16 Flip flop tipo T en vhdl


Fig.17 Test del Flip flop T en vhdl

Fig.18 Flip flop T en gtkwave

1.8. Realizar el código VHDL necesario para implementar un contador


ascendente del modulo indicado en la siguiente tabla.
Fig.19 Contador ascendente en vhdl modulo 321
Fig.20 Test del Contador ascendente

Fig.21 Contador ascendente en gtkwave

1.9. Realizar el código VHDL necesario para implementar un contador


descendente del módulo indicado en la siguiente tabla.
Fig.22 Contador descendente en vhdl módulo 401

Fig.23 Test del contador descendente en vhdl modulo 401


Fig.24 Prueba del contador en gtkwave.

REFERENCIAS

[1] Distribucion de pines. [Online]. Available:


http://ayudamateriasepn.blogspot.com/2014/09/distribucion-de-pines-y-tabla-
de.html

[2] T. Floyd. Fundamentos de Sistemas Digitales. Pearson Educación S.A., Madrid,


2006.

Potrebbero piacerti anche