Sei sulla pagina 1di 13

UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA

FACULTAD DE SISTEMAS Y TELECOMUNICACIONES


CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

CARRERA CICLO CÓDIGO NOMBRE DE LA


ASIGNATURA
Electrónica y 2017-1 EL075 Laboratorio de Sistemas Digitales
Telecomunicaciones

LABORATORIO DE Electrónica DURACIÓN


NOMBRE DE LA PRÁCTICA Diseño de un Multiplexor con VHDL 2h

PRÁCTICA 3

1 OBJETIVOS

 Repasar las características de un multiplexor.


 Introducir al diseño con Leguaje de Descripción de Hardware mediante un ejemplo de un
multiplexor.
 Implementar el circuito combinatorial simplificado en la FPGA.
 Verificar el correcto funcionamiento del circuito combinatorial utilizando los interruptores y
leds de la tarjeta DE2.

2 FUNDAMENTO TEORICO

CIRCUITOS MULTIPLEXORES.
Un sinónimo de la palabra “Multiplexar” es “seleccionar”, por tanto se pueden definir a los circuitos
multiplexores como selectores digitales de datos.

El tamaño o dimensión del MUX depende del número de entradas de datos (canales) disponibles. Si “n”
es el número de bits usados para escoger uno de los canales (entradas selectoras), la dimensión del
MUX es 2n a 1. Los MUX existentes son de dimensión:

Mux 2 a 1
Mux 4 a 1
Mux 8 a 1
Mux 16 a 1
UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Mux 4 a 1
Se dispone de 4 entradas de datos, de un bit cada una (I0, I1, I2, I3). En la salida Y se escribirá el valor de
sólo una de ellas. La entrada escogida es aquella cuyo subíndice coincida con el valor binario presente en
las entradas selectoras.
Ejemplo: Si S1S0 = “10” (que equivale a 2 en decimal), el valor al cual esté conectado I2 se escribe en la
salida Y.

Para que el MUX opere como se definió anteriormente, es necesario que la señal de habilitación ENABLE
este activada (E = 0). En caso contrario (E = 1) la salida Y siempre será “0” sin importar las demás
entradas.
La salida W es idéntica en valor de verdad a la salida Y, lo que cambia es la lógica de operación: Y es de
lógica positiva, W es de lógica negativa.

HDL.
Proviene del acrónimo ingles Hardware Description Language. Son textos basados en lenguaje para la
descripción formal de circuitos y sistemas electrónicos digitales.
HDLs son siempre asociados con programas que pueden entender y simular sistemas descritos. HDLs
mas usados:
VHDL
Verilog

VHDL
Es un estándar para describir circuitos de hardware VHSIC (Very High Speed Integrated Circuits) que fue
desarrollado por el departamento de defensa de los Estados Unidos y luego transferido a la IEEE.
VHDL es usado para describir y modelar sistemas digitales, pero no es un lenguaje de programación, es
decir no es una serie de instrucciones que se ejecutan uno después de otro.
Las librerías y paquetes deben ser declaradas en VHDL. La única excepción es la librería predefinida
llamada std.
Las dos primeras líneas del código VHDL involucran el paquete “ieee.std_logic_1164” de la librería
“ieee”. Son necesarios para los tipos de datos usados en el código.

Definido por el estándar IEEE 1164. Es ampliamente utilizado


Es un tipo enumerado para lógica de múltiples valores:
UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

‘U’ - Sin inicializar. Es el valor por defecto


‘X’ - Desconocido (fuerte)
‘0’ - Cero lógico (fuerte). Señal puesta a tierra
‘1’ - Uno lógico (fuerte). Señal puesta a alimentación
‘Z’ - Alta impedancia
‘W’ - Desconocido (débil)
‘L’ - Cero (débil). Resistencias pull-down
‘H’ - Uno (débil). Resistencias pull-up
‘-’ - Valor indiferente (“don’t-care”). Usado en síntesis

OPERADORES LÓGICOS EN VHDL


Los operadores son: and, or, nand, nor, xor, xnor, not
Tipos permisibles: bit, boolean y arreglos unidimensionales (del tipo bit o boolean)
Los operandos deben tener la misma longitud, excepto para el operador not, el cual se aplica por lo
general a un solo operando.
Si una expresión incluye varios de estos operadores (p.ej. AND, NOT, XNOR) es necesario utilizar
paréntesis para evaluarla correctamente.
La lógica del operador va izquierda (alta prioridad) a derecha (baja prioridad).

Asignaciones Condicionales de Señales – La construcción when-else


UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

3 PROCEDIMIENTO
3.1 EQUIPO
EQUIPO NECESARIO MATERIAL DE APOYO
 Computador  Practica Impresa
 Programa Quartus II  Pizarron
 Tarjeta DE2 con dispositivo FPGA  Marcadores
EP2C35F672C  Proyector

3.2 DESARROLLO DE LA PRACTICA

Práctica 3 - Parte 1

Se pide que se realice la construcción, compilación, simulación e implementación de un Mux 2 a 1


como se muestra en Figura.1.
El Multiplexor es un circuito lógico combinatorial y en esta ocasión se incluye dos alternativas de
código en vhdl que hace esta función. Se pretende que el estudiante entienda el código, incluya en
el actual proyecto y lo implemente en la FPGA siguiendo los mismos pasos que en la Parte I de este
laboratorio.

Figura.1 mux_2
UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Figura.2a. Código VHDL de mux_2

Figura.2b. Alternativa de Código VHDL de mux_2

 Comente con sus compañeros los códigos de las Figuras.2.


 En el menú principal vaya a File→New→VHDL File.
 Copia uno de los códigos superiores y guarda como mux_2.vhd.
 Compila de nuevo el proyecto.
 Ir a File y sigue el paso que indica Figura.3 para crear un símbolo del mux_2.
 Ir a Compuertas.bdf y de doble clic en un espacio en blanco para seleccionar mux_2
creado siguiendo la indicación de la Figura.4.
UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

 Edite el circuito como se muestra en Figura.5.

Figura.3. Creación de un símbolo a partir del archivo VHDL.

Figura.4. Inserción de mux_2.


UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Figura.5. Bloque mux_2

 Nombre los pines de entrada y salida como se muestra en Figura.5.


 Ir a assigment→pin planer, y cambie la configuración de pines añadiendo el contenido
de la tabla.1.

Señal Tipo Componente Pin FPGA

X entrada SW6 PIN_AC13

Y entrada SW7 PIN_C13

S entrada SW8 PIN_B13

M salida LEDR8 PIN_AA14

Tabla 1.

 Compile de nuevo el proyecto.

Programación de la FPGA
Use la Figura.9. Para hacer los siguientes pasos:

 Conecte el adaptador de 9V DC
 Conecte el USB Blaster a la tarjeta y al computador
UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

 Verifique el interruptor este RUN


 Presione el Power Switch para encender la tarjeta

Figura. 6 Tarjeta DE2

 Luego vaya al menú principal, escoja herramientas y escoja programador.


 Configure como muestra en pantalla (previamente debió haberse instalado el driver
USB-BLASTER).
 Presione Start para pogramar.
 Verifique el funcionamiento.
UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Figura.7. Programación de la tarjeta FPGA

Práctica 3 - Parte 2
Tomando como ejemplo el desarrollo del mux de 2 entradas de datos propuesto en la práctica,
realizar la construcción, compilación, simulación e implementación de un Mux 4 a 1.

Agregue aquí toda la información que estime pertinente (Tablas, información, fotos, etc) para
el desarrollo de esta sección.

4 TABLA DE RESULTADOS
Elaboracion de la respectiva tabla mux 4:1

S0 S1 Y
0 0 𝑰𝟎
0 1 𝑰𝟏
1 0 𝑰𝟐
1 1 𝑰𝟑
UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Pegue aquí el cronograma resultado de su simulación.

Pegue aquí una foto del resultado de su implementación.


UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

Luego de la implementación se procede asignar los pines correspondientes para verificar en la tarjeta
EP2C70F896C6

Para finalizar comprobamos el funcionamiento en la tarjeta EP2C70F896C6.


UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES


UNIVERSIDAD ESTATAL PENÍNSULA DE SANTA ELENA
FACULTAD DE SISTEMAS Y TELECOMUNICACIONES
CARRERA DE ELECTRÓNICA Y TELECOMUNICACIONES

LABORATORIO DE SISTEMAS DIGITALES

5 Conclusiones y Bibliografia
5.1 Conclusiones
 Al realizar la practica se recordó el funcionamiento de los mutiplexores
 En la elaboracion de la practica se utilizo dos mux de 2:1 el cual tiene el mismo funcionamiento
del mux 4:1
 Se visualizó el respectivo funcionamiento para cada condición.

5.2 Bibliografia
 Sistemas Digitales Principios y Aplicaciones, Ronald J. Tocci, 10ma Edición.
 Guía del programa QUARTUS Edición y Simulación, Universidad del País Vasco,
http://www.sc.ehu.es/acwarila/LDD/Practica/GuiaQuartus1.pdf
 DE2 Development and Education Board, User Manual, ALTERA.
 Don Meador. Begining Digital From a VHDL Perpesctive. First edition 2011.

Potrebbero piacerti anche