Sei sulla pagina 1di 37

PG Department of Electronics and Instrumentation Technology

University of Kashmir, Srinagar - 190006


M. Tech. in Embedded Systems and Solutions

Name of the Programme:

M. Tech. in Embedded Systems and Solutions at Department of Electronics and Instrumentation


Technology, Main Campus, University of Kashmir, Srinagar.

Introduction:

Embedded Systems are application specific electronic sub-systems which are completely
encapsulated by the main system it belongs to. The main system can range from household
appliances, home automation, consumer electronics, ATMs, network routers, automobiles,
aircrafts, IoT, etc. The M. Tech. programme in Embedded Systems and Solution (ESS) has been
introduced in the Department to foster growth and entrepreneurship in Electronics System Design
and Manufacturing (ESDM) and to help meet growing demand of highly skilled manpower in
various domains of Embedded System Design which is essential to support Electronics System
Design and Manufacturing (ESDM), a much sort-after destination as endorsed in National Policy
on Electronics by the Govt. of India.

Duration:

Regular TWO Years (FOUR Semesters) Degree Programme.

Eligibility:

B.E./B. Tech./B.Sc. Engineering in Electrical Sciences (Electronics, Electrical, Instrumentation,


Communications, Computer Sciences), M. Sc. Electronics, M. Sc. Information Technology, M. Sc.
Computer Sciences, MCA with 55% marks for general category and 50% marks for reserved categories
in the qualifying examination from this University or from any other university as equivalent thereto
by this University.

Mode of Selection:

Selection of candidates to the programme shall be made on the basis of a valid GATE Score.

Intake Capacity:

TWENTY (20) (for academic Year 2016-2017) to be filled up from Open Merit and Reserved
Categories as per the University Admission Policy.

Course Fee:

Total Fees: Rs. 1,46,750/= (Part A: Rs. 10,000/= per year; Part B: Rs. 31,250/= per semester; Part
C: Rs. 1,750/= per year)

Course Structure and Syllabus of M. Tech. in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
2

Course Structure:

The M. Tech. programme in Embedded Systems and Solutions (ESS) is a four semester
programme. The first three semesters of the programme comprise of academic course
work. During the fourth semester, a student has to take up an industry internship, or
pursue academic research in the Department leading to M. Tech. thesis (no grouping is
permitted). The programme also includes a unique 4-week period at the beginning of the
programme called a “Preparatory Term”. The preparatory term in intended to bring
all the incoming students up to a uniform background that is considered essential to
embark on M. Tech. degree in Embedded Systems and Solutions. This Preparatory Term
covers a programming course and a course on electronic circuits and systems. After the
4-week preparatory term, the first semester of the M. Tech. programme consists of
foundational courses in Embedded Systems that include the fundamentals of embedded
systems, microcontrollers, digital system design and wireless communication and
networks. The second semester includes an 8-week period called the “Winter Term”
which is intended to build foundation of research aptitude and presentation skills in the
students. In this term the students through self-study will learn various research
methodologies and prepare seminar presentation on some contemporary topic in
electronic system design. The second and third semesters includes advanced topics in
embedded system design that include embedded programming languages, system design
with ARM Cortex microcontrollers, VLSI architecture and design methodologies,
digital signal processor and architecture and internet of things. Students shall have to
choose four elective courses in their area of interest and specialization. The final
semester is designated for industry Internship or a research Thesis.

Semester – I (JUL – DEC)


Hours Credits Marks

End Term
Practical

Course
Tutorial

Internal
Lecture

Term Course Title

Total
Code

Principles of Electronic Circuits,


Semester I ESS-101P 4 2 8 0 100 50 150
Systems and Devices
Preparatory
Programming and Problem
Term (JULY) ESS-102P 4 2 8 0 100 50 150
Solving Techniques
Semester – I (Preparatory Term) Pass/Fail Nature.
8 4 16 0 200 100 300
No credits/marks (marks shown are only for evaluation purpose)
Fundamentals of Embedded
ESS-103C 3 1 0 4 50 100 150
Systems
Microcontrollers for Embedded
ESS-104C 3 1 0 4 50 100 150
System Design
Semester I
ESS-105C Advanced Digital System Design 3 1 0 4 50 100 150
Course Work
Wireless Communication and
(AUG – DEC) ESS-106C 3 1 0 4 50 100 150
Networks
ESS-107L Embedded System Design Lab 0 1 6 4 70 80 150
Advanced Digital System Design
ESS-108L 0 1 6 4 70 80 150
and Wireless Communication Lab
Semester – I (Course Work) Total 12 6 12 24 340 560 900

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
3
Semester – II (JAN – JUN)
Hours Marks

End Term
Credits
Practical
Tutorial
Course

Internal
Lecture
Term Course Title

Total
Code

Semester II ESS-201R Research Methodology (Self Study) 0 0 0 2 25 50 75


Winter Term
(JAN-FEB) ESS-202S Seminar 0 0 0 2 75 0 75
Semester – II (Winter Term) Total 0 0 0 4 100 50 150
Advanced Embedded
ESS-203C 3 1 0 4 50 100 150
Programming Languages
ESS-204C CPLD and FPGA Architectures 3 1 0 4 50 100 150
Embedded System Design with
ESS-205C 3 1 0 4 50 100 150
ARM Cortex Microcontrollers
Semester II ESS-206 Elective – 1
Course Work ESS-206E1 Statistical Signal Processing
(MAR – JUN)
ESS-206E2 Sensors and Actuators
3 1 0 4 50 100 150
ESS-206E3 System on Chip Architecture
ESS-206E4 Multimedia and Signal Coding
ESS-207L ARM Cortex Microcontroller Lab 0 1 6 4 70 80 150
ESS-208L CPLD and FPGA Lab 0 1 6 4 70 80 150
Semester – II (Course Work) Total 12 6 12 28 440 610 1050

Semester – III (JUL – DEC)


Hours Marks

End Term
Credits
Practical
Course Tutorial

Internal
Lecture

Term Course Title

Total
Code

Digital Signal Processor and


ESS-301C 3 1 0 4 50 100 150
Architecture
ESS-302C Mixed Signal Embedded Systems 3 1 0 4 50 100 150
Elective – 2
ESS-303E1 Embedded System Security and
Forensics
ESS-303E2 Network on Chip
ESS-303E3 Adhoc and Wireless Sensor 3 1 0 4 50 100 150
Networks
Semester III ESS-303E4 Embedded Networks and
Course Protocols
Work ESS-304 Elective – 3
(JUL – DEC) ESS-304E1 Internet of Things
ESS-304E2 RF Microelectronics 3 1 0 4 50 100 150
ESS-304E3 Industrial Robotics
Elective – 4
ESS-305E1 Soft Computing Techniques
ESS-305E2 Digital Communication Techniques
3 1 0 4 50 100 150
ESS-305E3 Automotive Embedded Electronics
ESS-305E4 RF Engineering
ESS-306L Mixed Signal and DSP Systems Lab 0 1 6 4 70 80 150
ESS-307P/I Pre Project/Pre-Internship 0 1 6 4 70 80 150
Semester – III (Course Work) Total 15 7 12 28 390 660 1050

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
4
Semester – IV (JAN – JUN)
Hours Marks

Credits

End Term
Practical
Course

Tutorial

Internal
Lecture
Term Course Title

Total
Code

Semester IV
Internship ESS-401I Internship 0 0 48 24 900 0 900
(JAN – JUN)
Semester IV
Thesis ESS-401T Project and Thesis 0 0 48 24 200 700 900
(JAN – JUN)
900/ 0/
Semester – IV (Internship/Thesis) Total 0 0 48 24 900
200 700

Examinations:

Semester – I:
a) Preparatory Term Examination: on 1st and 2nd working days of August; Students who
do not qualify the Preparatory Term shall have to qualify it before appearing in Course work
examination of 1st semester. This term is of Pass/Fail Nature and therefore, students will
not secure any credit or marks in this term.
b) Course Work Examination: Commences in 2nd Week of December.
Semester – II:
a) Winter Term Examination: 1st Week of March; Students who do not qualify the Winter
Term shall have to qualify it before appearing in Course work examination of 2nd semester.
b) Course Work Examination: Commences in 2nd Week of June.
Semester – III:
a) Course Work Examination: Commences in 2nd Week of December.
Semester – IV:
a) Internship and Thesis Examination: Commences in 2nd Week of July.

Assessment and Grades:

Percentage of Marks Secured Letter Grade Grade Points


80% and above O
10
(≥80% but ≤100%) (Outstanding)
Below 80% but not less than 70% A+
9
(≥70 % but < 80%) (Excellent)
Below 70% but not less than 60% A
8
(≥60% but <70%) (Very Good)
Below 60% but not less than 55% B+
7
(≥55% but <60%) (Good)
Below 55% but not less than 50% B
6
(≥50% but <55%) (Above Average)
Below 50% F
0
(<50%) (FAIL)
AB
ABSENT 0
(Absent)

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
5

Preparatory Term Courses:

Students entering the M. Tech in Embedded Systems and Solutions programme are
expected to come with prior knowledge of programming and electronic system design.
While we do not wish to conduct full-fledged programming courses at the Masters level,
we will provide an opportunity for the students to hone up their programming skills in
a structured way as part of the preparatory term. The preparatory term has one course
in programming (covering essentials of programming languages in general). The other
course on electronic system design will provide an opportunity to get hands-on with
electronic circuits, systems and simulation tools. The two courses will not carry any
credits. However, they are mandatory courses with a PASS/FAIL grade. The
Programming and Electronic Circuits and Systems will be taught with emphasis on
hands-on activities.

Winter Term Courses:

Students admitted to the second semester of the M. Tech programme in Embedded


Systems and Solutions are required to qualify a non-technical course on Research
Methodology which is expected to be completed by the student through self-study and
a seminar on some contemporary topic in the discipline of electronic system design.
These two courses in the second semester will carry two credits each.

Pre-Internship and Internship:

In Pre-Internship, students shall choose a specific domain for their Internship and
identify prospective organizations and apply for Internship programmes. For Pre-
Internship work, a counselor will be assigned to each student, who at the beginning of
the 3rd semester shall guide him/her regarding identifying the prospective
organizations and applying therein.
Internship shall be of six months (Minimum 18 weeks’) duration and a student can
accumulate 24 credits on successful completion of internship. Internships shall be
considered as six months (not less than 18 weeks) of supervised learning carried out at
industry or some academic institution of excellence. Students are encouraged to apply
for internship in 3rd semester to companies or academic institutions so that its
commencement is ensured at the beginning of 4th semester.

Pre-Project, Project and Thesis:

In the Pre-Project work, students shall choose a specific topic/area for their project.
A supervisor will be assigned to each student, who at the beginning of the 3rd semester
shall provide a syllabus and plan of study including relevant research papers to the
student.
Project and Thesis shall be of six months (Minimum 18 weeks’) duration and a student
can accumulate 24 credits on successful completion of Project. This is in addition to
pre-project work in 3rd semester wherein students shall choose a specific topic/area
for their project and undertake its study.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
6

Semester – I (Preparatory Term)


ESS-101P: Principles of Electronic Circuits, Systems and Devices
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
4 2 8 0 50 100 150 3 Hours

Learning Objectives

 To recapitulate the topics of electronics learnt in Bachelor’s course.


 To prepare students for the M. Tech Course

Unit 1: Overview of Networks, Signals and Systems


Network solution methods: nodal and mesh analysis; Network theorems: Fourier series and Fourier transform,
sampling theorem and applications; DFT, FFT, Z-transform, LTI systems, digital filter design techniques.
Unit 2: Overview of Electronic Devices
Semiconductor Physics, Diode, BJT, MOS capacitor, MOSFET, solar cell; Integrated circuit fabrication
process, twin-tub CMOS process.
Unit 3: Overview of Analog Circuits
Diode circuits Single-stage BJT and MOSFET amplifiers: biasing and frequency response; multi-stage and
differential amplifiers, operational amplifier; Simple op-amp circuits, Active filters; Sinusoidal oscillators, 555
timers; Voltage reference circuits. Data converters: sample and hold circuits, ADCs and DACs.
Unit 4: Overview of Digital Circuits
Number systems; Combinatorial circuits: Boolean algebra, minimization of functions, logic gates and their
static CMOS implementations, arithmetic circuits, code converters, multiplexers, decoders and PLAs;
Sequential circuits: latches and flip‐flops, counters, shift‐registers; Semiconductor memories: ROM, SRAM,
DRAM
Unit 5: Overview of Communications
Random processes: autocorrelation and power spectral density, properties of white noise, filtering of random
signals through LTI systems; Analog communications: amplitude modulation and demodulation, angle
modulation and demodulation, spectra of AM and FM, Digital communications: PCM, DPCM, digital
modulation schemes..
Text and Reference Books:
Text Books:
1. Neil Sclater, Electronics Technology Handbook, Tata McGraw Hill, 1999.
2. Poul Horowitz and Winfield Hill, Art of Electronics, Cambridge University Press, 2nd edition 1989.
3. David A Bell, Electronic Devices And Circuits, Oxford University Press.
4. Wayne Tomasy, Advanced Electronic Communication System, Phi Publishers.
References:
5. Robert L. Boylested, Louis Nashelsky, Electronic Devices And Circuit Theory, Pearson Education
George Kennedy, Bernard Davis, Electronic Communication Systems, Mc Graw Hill.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
7

Semester – I (Preparatory Term)


ESS-102P: Programming and Problem Solving Techniques
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
4 2 8 0 50 100 150 3 Hours

Learning Objectives

 To understand the basic concepts of problem solving approaches from the basics of mathematical functions and operators to the
design and use of techniques such as codes, arrays, pointers, other data structures, and object-oriented programming concepts.
 To explore problem-solving tools, such as problem analysis charts, interactivity charts, IPO charts, algorithms, and flowcharts
and Universal Modelling Language (UML), to design a solution to a problem.
 To design, implement, test, and apply various logic structures, data structures and advanced concepts such as libraries, and
multi file programming paradigms through C programming language.

Unit 1: Introduction to Problem Solving and Programming


General Problem-Solving Concepts - Problem Solving in Everyday Life, Types of Problems, Problem Solving
with Computers, Difficulties with Problem Solving. Planning Solutions – Organizing Solutions (Problem
Analysis, Interactive Chat, IOP Chat, Writing Algorithm, Drawing Flowchart, Pseudocode, Documentation) –
Introduction to UML, Testing and Coding Solutions. Problem Solving Concepts for the Computers –
Constants and Variables, Data Types, Operators, Expressions, Functions and Equations. Programming
examples in C.
Unit 2: Logic Structures
The Sequential Logic Structures. The Decision Logic Structure, Multiple If/Then/Else Instructions, Straight-
Through Logic, Positive Logic, Negative Logic, Logic Conversion, Decision Tables, The Case Logic Structure,
Loops – The Loop Logic Structure, Nested Loops, recursion. Program Structures – Modules and their
Functions, Cohesion and Coupling, Local and Global Variables, Parameters, Return Values, Variable Names
and the Data Dictionary. Programming examples in C.
Unit 3: Data Structures - I
Arrays – One-Dimensional, Two-Dimensional and Multidimensional Arrays and their processing, Table Look-
Up Technique, Sequential Search, Binary Search., Frequency Distribution, Cross-Tabulation. Sorting
techniques. Pointers – Address operators and arithmetic, functions and pointers, arrays and pointers, pointer
arrays, pointer to pointer. Programming examples in C.
Unit 4: Data Structures - II
Structures, Unions, Enumeration, Bit Fields and their processing. Stacks, Queues, their operations and
applications. File Data Structure and Processing. Programming examples in C.
Unit 5: Object Oriented Programming
Introduction to Object-Oriented Programming – Classes, Inheritance, Polymorphism, Encapsulation,
Objects, Methods, Instance versus Static Methods, Introduction to use of UML as a Design Tool.
Programming examples in C++.
Text and Reference Books:
1. Problem Solving & Programming Concepts, Maureen Sprankle and Jim Hubbard, 9th ed. 2012,
Pearson Education.
2. C How to Program, Deitel and Deitel, Pearson Education. 6th edition, 2010.
3. Introduction to Computers, Peter Norton, Sixth Edition, Tata McGraw Hill Publications, 2007.
4. How to solve it by computer, R.G.Dromey, Pearson education , fifth edition, 2007.
5. Fundamentals of Computing and Programming in C, Pradip Dey, Manas Ghosh, First Edition, Oxford
University Press, 2009.
6. Introduction to Algorithms, Cormen, Leiserson, Rivest, Stein, McGraw Hill, Publishers, 2002.
7. Programming in C, Reema Thareja, Oxford University Press, 2011.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
8

Semester – I (Course Work)


ESS-103C: Fundamentals of Embedded Systems
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To introduce the concept and functioning of Embedded Systems.


 To learn core components of Embedded Systems.
 To learn various processes involved in the design of an Embedded System.
 To learn various aspects of operating systems applicable to Embedded Systems.
 To undertake case study of various RTOS to understand their working and design.

Unit 1: Introduction to Embedded Systems


Definition of Embedded Systems, Embedded Systems Vs General Computing Systems, History of Embedded
Systems, Embedded Systems Model, Major application areas, Purpose of Embedded Systems, Characteristics
and quality attributes of Embedded Systems.
Unit 2: Typical Embedded Systems
Core of the embedded system, General purpose and domain specific processor, ASICs, PLDs, Commercial
off the shelf Components (COTS), Memory: RAM, ROM, Memory according to the type of interface, Memory
Shadowing, Memory selection for embedded system, Sensors and actuators, Introduction to Communication
Interface (Onboard and External).
Unit 3: Embedded System Design Process
Embedded system project development, Design issues and co-design issues in system development process,
The Embedded Design Life Cycle, Selection Process, The Partitioning Decision (Hardware and Software
partitioning), The Development and Debugging Environment (use of target machine or its emulator and In-
Circuit emulator), Special Software Techniques, Introduction to BDM, JTAG, and Nexus.
Unit 4: Embedded System OS
Types of operating systems, Tasks, Processes and Threading, Multi-processes and Multithreading, Process
Management (Concepts, Scheduling, IPC, RPC, CPU Scheduling, scheduling criteria, scheduling algorithms),
Shared Memory, Message Passing, Remote Procedure Call, sockets, Thread Management (Multi thread models,
threading issues, Thread libraries, Synchronization), Mutex (Creating, Deleting, Prioritizing Mutex, Mutex
internals).
Unit 5: RTOS Design and Case Study
RTOS terminology and definition, Real Time design issues with examples, Hardware Consideration (logic
states, CPU, Memory, Architectures), RTOS building blocks, Real-Time Kernel, RTOS Case Study (any 2 of
following: RT Linux, MicroC/OS-II, Vx Works, Embedded Linux, Tiny OS, Salvo, Android OS).
Text and Reference Books:
1. Introduction to Embedded Systems, A Cyber physical approach, Edward A. Lee and Senjit A. Seshia.
2. Embedded Systems Design: An Introduction to Processes, Tools, and Techniques, by Arnold S. Berger, CMP Books.
3. Real time system design and analysis by Philips A. Laplante.
4. Embedded Linux: Hardware, Software and Interfacing, Dr. Craig Hollabaugh.
5. Real Time Concepts for Embedded Systems, Qing Li, Elsevier, 2011.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
9

Semester – I (Course Work)


ESS-104C: Microcontrollers for Embedded System Design
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To learn core components and functionalities of microcontrollers.


 To understand PIC series of microcontrollers in terms of their architecture, programming and interfacing.
 To learn programming of PIC series of microcontrollers and learn building of hardware circuits using PIC 16F series of
microcontrollers.
 To learn emerging trends in the design of PIC series of microcontrollers employing advanced features such as Controller
Area Networking, etc.

Unit 1: Introduction to Microcontrollers


Introduction to Computer Systems, Introduction to Harvard & Von Neuman Architectures, CISC & RISC
Architecture, Introduction to Microprocessors and Microcontrollers, Comparison of Microcontroller with
Microprocessor, Microcontroller Applications, Classification, Trends, Introduction to Various Features of
Microcontrollers.
Unit 2: PIC 16F Microcontroller Series
Introduction to PIC Microcontroller families (8/16 and 32 bit), PIC 16F series family overview of architecture
and peripherals, Pin diagram and Architecture of PIC16F84/PIC16F84A Microcontroller, Memory
organization, configuration, memory addressing, and special function registers, parallel and serial ports, timer
and counters. Special features of PIC16F84A (OSC Selection, RESET - Power-on Reset (POR), Power-up
Timer (PWRT), Oscillator Start-up Timer (OST), Interrupts, Watchdog Timer (WDT), SLEEP, Code
Protection, ID Locations, In-Circuit Serial Programming, interrupts). Architectural overview of PIC
16F877/PIC 16F887A.
Unit 3: Instruction Set and Programming
PIC 16F series instruction set (data movement, arithmetical, logical, branch control, etc.), Instruction format,
assembly language programming for PIC16F84/PIC16F84A and PIC 16F877/PIC 16F887 microcontrollers,
Writing ALP programs for PIC microcontrollers.
Unit 4: Devices Interfacing and Programming
Introduction to basic I/O devices (LED’s, 7-Segmnt Displays, Switches and Keyboard Matrix), Use of
subroutines and Interrupt in programming, programming with Timers and Counters, Using I/O ports in
programming, LCD interfacing, Using ADC in programming, Serial communication.
Unit 5: Advanced PIC Microcontrollers
Introduction to 18F, 24F and 32F series of PIC microcontrollers, their architecture and feature introduction,
Overview of advanced features (Stacks, Infrared and Radio connectivity, Controller Area Network, Local
Interconnect network, Real time clock and calendar).
Text and Reference Books:
1. Designing Embedded Systems with PIC Microcontrollers: Principles and Applications, 2nd Edition, Tim Wilmshurst,
Elsevier Publication.
2. Interfacing PIC Microcontrollers Embedded Design by Interactive Simulation by Martin Bates, Elsevier Publication.
3. PIC Microcontroller and Embedded Systems Using Assembly and C for PIC 18 by Muhammad Ali Mazidi, Rolin D.
McKinlay and Danny Causey, Pearson Publication.
4. Advanced PIC Microcontroller Projects in C from USB to RTOS with the PIC18F Series by Dogan Ibrahim, Elsevier
Publication.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
10

Semester – I (Course Work)


ESS-105C: Advanced Digital System Design
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 0 50 100 150 3 Hours

Learning Objectives and Course Outcomes


 Learning Objectives: To expose the students to the digital system design, To introduce logics for design of Programmable
Devices, To comparatively study the classification of commercial family of Programmable Devices, To expose the students
to the layout and its rules, Understand the programming concept for HDL and Design the simple application by using
VHDL code.
 Course Outcomes: After going through this course the student will be able to Understand concepts of Digital design
(Combinational and Sequential), Understand the concepts of programmable logic devices. Design subsystems Interfacing
different devices to the processor, Design layouts with given design rules and Test the circuits in behavioural language
(VHDL)
Unit 1: Introduction
Overview of digital VLSI design methodologies – Trends in IC Technology – Advanced Boolean algebra –
Shannon’s expansion theorem – Consensus theorem – Octal designation- Run measure – Buffer gates – Gate
expander – Reed Muller expansion – Synthesis of multiple output combinational logic circuits by product map
method – Design of static hazard free, dynamic hazard free logic circuits.
Unit 2: Digital Design
Static and Dynamic CMOS design- Domino and NORA logic - combinational and sequential circuits.
Arithmetic circuits in CMOS VLSI - Adders- multipliers- shifter -CMOS memory design - SRAM and DRAM.
Bipolar gate Design- BiCMOS logic - static and dynamic behaviour -Delay and power consumption in BiCMOS
Logic.
Unit 3: Subsystem Design Process
General arrangement of 4-bit Arithmetic Processor, Design of 4-bit shifter, Design of ALU sub-system,
Implementing ALU functions with an adder, Carry-look-ahead adders, Multipliers, Serial Parallel multipliers,
Pipeline multiplier array, modified Booth’s algorithm.
Unit 4: Layout and Design Rules
Layout diagram, Stick diagram, Need for Design Rules, Mead Conway Design Rules for the Silicon Gate
NMOS Process, CMOS Based Design Rules, Simple Layout Examples, Sheet Resistance, Area Capacitance,
Wire Capacitance, Drive Large Capacitive Load
Unit 5: Logic Synthesis, Simulation and Testing
Basic features of VHDL language for behavioural modelling and simulation – Summary of VHDL data types
–Dataflow and structural modelling – VHDL and logic synthesis – Circuit and layout verification – Types of
simulation – Boundary scan test – Fault simulation – Automatic test pattern generation – design examples.
Text and Reference Books:
Text Books:
1. Charles.H.Roth, Jr, “Digital Systems Design using VHDL”, PWS Publishing Company, 2001.
2. Fredrick J. Hill and Gerald R. Peterson, “Computer Aided Logical Design with emphasis on VLSI”, 4th edition, Wiley,
1993.
3. Sung-Mo Kang & Yusuf Leblebici, “CMOS Digital Integrated Circuits - Analysis & Design”,
4. MGH, Second Ed., 1999
5. Jan M Rabaey, “Digital Integrated Circuits-A Design Perspective”, Prentice Hall, 1997
6. Eugene D Fabricus, “Introduction to VLSI Design,”McGraw Hill Intnl Edition.1990
References:
7. Ken Martin, “Digital Integrated Circuit Design”, Oxford University Press, 2000
8. Neil H E West and Kamran Eshranghian,”Principles of CMOS VLSI Design: A System
9. Perspective”, Addision-Wesley 2nd Edition,2002.
10. R. J. Baker, H. W. Li, and D. E. Boyce, “CMOS circuit design, layout, and simulation”. New York: IEEE Press,
1998.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
11

Semester – I (Course Work)


ESS-106C: Wireless Communication and Networks

Hours per Week Maximum Marks Examination


Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 0 50 100 150 3 Hours

Learning Objectives
 To Study the Fundamentals of Wireless Networks, and Existing Architecture of Wireless Networks, To provide a
comprehensive background knowledge of Wireless Communication, To Define different types of Wireless Topologies,
constraints of wireless Networks, Need of the wireless and Adhoc Networks, To learn Protocols used in the Wireless
Networks and Security of the wireless Sensor Networks.
Unit 1: The Cellular Concept-System Design Fundamentals
Introduction, Frequency Reuse, Channel Assignment Strategies, Handoff Strategies, Practical Handoff
Considerations, Interference and System capacity – Co channel Interference and system capacity, Channel planning
for Wireless Systems, Adjacent Channel interference, Improving Coverage & Capacity in Cellular Systems- Cell
Splitting, Sectoring.
Unit 2: Mobile Radio Propagation: Large-Scale Path Loss
Introduction to Radio Wave Propagation, Free Space Propagation Model, Basic Propagation Mechanisms,
Reflection-Reflection from Dielectrics, Brewster Angle, Reflection from prefect conductors, Ground Reflection
(Two-Ray) Model, Diffraction-Fresnel Zone Geometry, Knife-edge Diffraction Model, Scattering, Outdoor
Propagation Models, Partition losses between Floors, Log-distance path loss model, Ericsson Multiple Breakpoint
Model, Attenuation Factor Model, Signal penetration into buildings, Ray Tracing and Site Specific Modelling.
Unit 3: Mobile Radio Propagation: Small Scale Fading and Multipath
Small Scale Multipath propagation-Factors influencing small scale fading, Doppler shift, Impulse Response Model
of a multipath channel- Relationship between Bandwidth and Received power, Small-Scale Multipath
Measurements, Spread Spectrum Sliding Correlator Channel Sounding, Frequency Domain Channels Sounding,
Parameters of Mobile Multipath Channels-Time Dispersion Parameters, Coherence Bandwidth, Doppler Spread
and Coherence Time, Types of Small-Scale Fading-Fading effects, Statistical Models for multipath Fading Channels
Introduction to RAKE Receiver.
Unit 4: Equalization and Diversity
Introduction, Fundamentals of Equalization, Training A Generic Adaptive Equalizer, Equalizers in a
communication Receiver, Linear Equalizers, Nonlinear Equalization, Maximum Likelihood Sequence Estimation
(MLSE) Equalizer, Algorithms for adaptive Equalization, Diversity principles and Techniques, Maximal Ratio
Combining, Equal Gain Combining, Polarization Diversity, Frequency Diversity, Time Diversity.
Unit 5: Wireless Networks
Introduction to wireless Networks, Various Wireless technologies, Shared Wireless Access Protocol (SWAP),
WLAN Topologies, WLAN Standard IEEE 802.11, IEEE 802.11 Medium Access Control, Comparison of IEEE
802.11 a, b, g and n standards, IEEE 802.16 and its enhancements, Wireless PANs (architecture and applications),
Introduction to Hipper LANS, WLL.
Text and Reference Books:
1. Wireless Communication and Networks, William Stallings, prentice Hall Ltd...
2. Wireless Communications: Principles & Practices, Rappaport, Prentice Hall Ltd.
3. Modern Wireless Communications, Haykin and Moher, Prentice Hall Ltd.
4. Fundamentals of Wireless Communication, David Tse, Pramod Vishwanath, Cambridge University Press.
5. Handbook of Wireless & Mobile Computing, Ivan Stojmenovic, john Wiley and Sons.
6. Wireless Communication and Networking, Vijay Garg, Morgan koufman, Elsevier.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
12

Semester – I (Course Work)


ESS-107L: Embedded System Design Lab
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 1 6 0 70 80 150 3 Hours

List of Experiments

1. To write basic ALP for PIC 16F84/16F877A microcontroller.


2. Interfacing of LED with PIC16F84/16F877A Microcontroller.
3. Interfacing a Switch with PIC16F84/16F877A Microcontroller.
4. Interfacing of both LED and Switch with 16F84/PIC16F877A Microcontroller.
5. Matrix keypad interfacing with 16F84/PIC16F877A Microcontroller.
6. PIC 16F84/16F877A Microcontroller and Seven segment interfacing.
7. Development of Software for Timer peripheral.
8. PIC 16F84/16F877A Microcontroller and LCD Interfacing.
9. Using of internal EEPROM.
10. ADC Interfacing: a) 8-bit ADC Interfacing, b)10-bit ADC Interfacing.
11. PWM signal generation at various frequencies.
12. Interfacing of PIC16F877A Microcontroller and sensor having analog output.
13. Interfacing of PIC16F877A Microcontroller and sensor having digital output.
14. Interfacing PC to PIC16F877A Microcontroller using UART.
15. Interfacing of RF Transmitter and Receiver with PIC16F877A Microcontroller.
16. PIC16F877A Microcontroller and Seven Segment Display interfacing using SPI
17. PIC16F877A Microcontroller and LCD Interfacing using SPI.
18. PIC16F877A Microcontroller and LCD Interfacing using I2C.
19. Case study of Embedded Real Time Operating systems.

Semester – I (Course Work)


ESS-108L: Advanced Digital System Design and Wireless Communication Lab

Hours per Week Maximum Marks Examination


Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 1 6 0 70 80 150 3 Hours

List of Experiments
Experiments on Advanced Digital System Design
1. Review Experiments using Cadence Virtuso tool kit on the design of
 Combinational logic circuits
 Sequential logic circuits
 Arithmetic circuits
2. Experiments on the design of subsystems on Cadence Virtuso tool kit.
3. Learning Layout in Cadence Virtuso tool kit.
4. Programs using VHDL on the design of
 Combinational logic circuits
 Sequential logic circuits
 Arithmetic circuits
Experiments on Wireless Communication
5. To Demonstrate Infrastructure and Adhoc wireless Network.
6. To establish the connectivity between devices using Bluetooth and infrared
7. To Design a wireless communication System using RF transmitter and receiver kit/RF Modules.
8. To configure a wireless network using Raspberry PI/Arduino/LPC Boards.
9. To design a wireless network using Wi-Fi IEEE 802.11 Wireless Module Hardware.
10. To configure the Simple Web Server using Raspberry PI/Arduino/LPC Boards.
11. Ten Experiments on Wireless Communication and Networks using Matlab.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
13

Semester – II (Winter Term)


ESS-201R: Research Methodology (Self Study)
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 0 0 2 25 50 75 1 ½ Hours

Learning Objectives

 To import the knowledge on analysis of research methodology.


 The students will be able to estimate the performance of different testing method for research.
 The Students will be able to analysis the methods used for data collection hypothesis.
 testing and sampling process for research methodology.

Unit 1: Introduction
Definition, mathematical tools for analysis, Types of research, exploratory research, conclusive research,
modelling research, algorithmic research, Research process- steps. Data collection methods- Primary data –
observation method, personal interview, telephonic interview, mail survey, questionnaire design. Secondary
data- internal sources of data, external sources of data.
Unit 2: Sampling Methods
Scales – measurement, Types of scale – Thurstone’s Case V scale model, Osgood’s Semantic Differential scale,
Likert scale, Q- sort scale. Sampling methods- Probability sampling methods – simple random sampling with
replacement, simple random sampling without replacement, stratified sampling, cluster sampling. Non-
probability sampling method – convenience sampling, judgment sampling, quota sampling.
Unit 3: Hypotheses Testing
Testing of hypotheses concerning means -one mean and difference between two means -one tailed and two
tailed tests, concerning variance – one tailed Chi-square test.
Unit 4: Research in Embedded Systems
Embedded System: Scope – Economics Stakes – Trends – State of Art – Examples: Air Traffic control – The
Next Generation: Technological challenges – Scientific challenges - Cost.
Unit 5: Challenges and Case Study
Physical Systems Engineering: Analytical Models – Computing Systems Engineering: Computational Models
– Proposed Vision: Multidisciplinary Integration – Sub – Challenge: Integrate Analytical and Computational
Models. Case Study: apply Research Methodology principles into design and manufacturing field.
Text and Reference Books:
1. Kothari, C.R., Research Methodology –Methods and Techniques, New Age Publications, New Delhi, 2009.
2. Panneerselvam, R., Research Methodology, Prentice-Hall of India, New Delhi, 2004.

Semester – II (Winter Term)


ESS-202S: Seminar

Hours per Week Maximum Marks Examination


Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 0 0 2 75 0 75 1 ½ Hours

Details

Each student shall present a seminar in the 2nd semester on a topic relevant to Embedded Systems for about
30 minutes. The topic should not be a replica of what is contained in the syllabus. The topic shall be approved
by the Seminar Evaluation Committee of the Department. The committee shall evaluate the presentation of
students. Seminar report in the prescribed form shall be submitted to the department after the approval from
the committee.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
14

Semester – II (Course Work)


ESS-203C: Advanced Embedded Programming Languages
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To impart advanced concepts of programming languages such as libraries, low level features, programme structures, pre-
processor directives, project files, and other concepts as applicable to embedded systems.
 To introduce object oriented programming concepts such as classes and templates for real time programming and writing
code for microcontrollers using C++.
 You also learn how to use the Keil uVision IDE to create projects, build and download them to the board.
 To provide hands-on-skills with the use of Embedded Java and Note.js for making embedded systems accessible through
the Web.

Unit 1: Advanced Topics in C


Review of Basic concepts of C programming, C’s pre-processor directives, Libraries in C, Multifile Programs.
Project Files, writing assemble language routines in C, Header files for Project and Port, Real-time constraints,
Creating hardware delays, Timeout mechanism - Creating loop and hardware timeouts.
Unit 2: Introduction to IDE’s and Advanced Embedded languages
Introduction to Keil, Installing the Keil software and loading the project, Configuring the simulator, Building
the target, Running the simulation, Dissecting the program, Building the hardware. Writing programs using
Keil, generating hex files and downloading them to the board. Introduction to Atmel Studio. Introduction to
Real Time C++, Introduction to Embedded Java and J2ME. Introduction to Web Technology for Embedded
Systems. Introduction to Node.js and JSON.
Unit 3: Real Time C++ Programming
Syntax of C++, Classes and Objects, Standard libraries, Low Level Register access, Real Time Programming
on a board, Data types, the bool type, Namespaces, Basic classes and Templates, using <limits>, Basic STL
Algorithms, Digital Separators and Binary Literals.
Unit 4: C++ Programming for Microcontrollers-I
Object oriented technique for microcontrollers, C++ Templates for Microcontrollers (Functions, Scalability
and Code Re-Use) Optimized C++ Programming for Microcontroller, Custom Memory Management,
Programming Examples.
Unit 5: C++ Programming for Microcontrollers-II
Accessing Microcontroller Registers, Code designing process for Microcontrollers, Low-level Hardware
Drivers in C++, C++ Multitasking, Floating Point Mathematics, Programming Examples.
Text and Reference Books:
1. Real Time C++: Efficient object oriented & template Microcontroller programming, 2nd Edition, Christopher Kormanyos,
Springer, 2015.
2. Embedded C, Michael J Pont, Pearson publications, 2007.
3. Test Driven development for Embedded C, James W Grenning, 2011.
4. Node.js for Embedded Systems, Patrick Mulder, Kelsey Breseman, O’Reilly Media, 2015.
5. Java Card Technology for Smart Cards: Architecture and Programmer’s Guide, Zhiqun Chen, Eddison-Wesley Professional,
2000.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
15

Semester – II (Course Work)


ESS-204C: CPLD and FPGA Architectures

Hours per Week Maximum Marks Examination


Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives and Course Outcome


 Learning Objectives: To develop rigorous foundation in VLSI Architectures, CMOS and its Design Methodologies, To
analyse programmable ASICs, To obtain basic knowledge of synthesis. To introduce the student to digital design using
Field Programmable ICs, and to provide an understanding of the underlying technologies and architectures of these
Integrated Circuits. To prepare students for the design of practical digital hardware systems using VHDL
 Course Outcomes: Modelling and simulation of digital VLSI systems using hardware design language, Synthesis of
digital VLSI systems from register-transfer or higher level descriptions in hardware design languages, Use of FPGA for
various applications.
Unit 1: : Introduction to Programmable Logic Devices
Introduction, Simple Programmable Logic Devices – Read Only Memories, Programmable Logic Arrays,
Programmable Array Logic, Programmable Logic Devices/Generic Array Logic; Complex Programmable
Logic Devices – Architecture of Xilinx Cool Runner XCR3064XL CPLD, CPLD Implementation of a Parallel
Adder with Accumulation.
Unit 2: Field Programmable Gate Arrays
Organization of FPGAs, FPGA Programming Technologies, Programmable Logic Block Architectures,
Programmable Interconnects, Programmable I/O blocks in FPGAs, Dedicated Specialized Components of
FPGAs, Applications of FPGAs
Unit 3: SRAM Programmable FPGAs
Introduction, Programming Technology, Device Architecture, The Xilinx XC2000, XC3000 and XC4000
Architectures.
Unit 4: Anti-Fuse Programmed FPGAs
Introduction, Programming Technology, Device Architecture, The Actel ACT1, ACT2 and ACT3
Architectures.
Unit 5: Design Applications
General Design Issues, Counter Examples, A Fast Video Controller, A Position Tracker for a Robot
Manipulator, A Fast DMA Controller, Designing Counters with ACT devices, Designing Adders and
Accumulators with the ACT Architecture.
Text and Reference Books:
Text Books:
1. Field Programmable Gate Array Technology - Stephen M. Trimberger, Springer International Edition.
2. Digital Systems Design - Charles H. Roth Jr, Lizy Kurian John, Cengage Learning.
Reference Books:
1. Field Programmable Gate Arrays - John V. Oldfield, Richard C. Dorf, Wiley India.
2. Digital Design Using Field Programmable Gate Arrays - Pak K. Chan/Samiha Mourad, Pearson Low Price
Edition.
3. Digital Systems Design with FPGAs and CPLDs - Ian Grout, Elsevier, Newnes.
4. FPGA based System Design - Wayne Wolf, Prentice Hall Modern Semiconductor Design Series.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
16

Semester – II (Course Work)


ESS-205C: Embedded System Design with ARM Cortex Microcontrollers
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives
While there has been a lot of growth in embedded systems, recent tight integration of sensors and actuators, coupled with readily
available networking, provide opportunity for even more explosive growth. The major architecture of embedded processors is ARM.
It has recently created much more useable, efficient and powerful processor families that facilitate faster and better all-integrated
development of embedded hardware, software, networking and sensing/actuating functions. The Course objectives include
understanding of the design methods, tools and flows in developing embedded systems; understand modern embedded processor
architectures; learn the advantages of modern ARM Cortex M processors for faster/better design, debug and execution; to be able
to use modern software frameworks for embedded systems including CMSIS-DSP and CMSIS-RTOS.
Unit 1: Introduction to ARM
A brief history of ARM, evolution, Architecture versions and Thumb ISA, Processor naming and ARM
ecosystem, Cortex - M processor family, Advantages of the Cortex -M processors, Applications of the ARM
Cortex-M processors, Introduction to Cortex-M3 and Cortex-M4 processors (Processor architecture,
Instruction set, Block diagram, Memory system, Interrupt and exception support).
Unit 2: Architecture of Cortex M3 and M4 Processors
Programmer’s model, Operation modes, Registers, Memory System, features, stack memory, memory
requirements, endianness, bit band operations, access permissions and attributes, memory barriers, Low power
design and features, low power application development, overview of exceptions and interrupts, exception
types and interrupt management, vector table, exception sequence, use of NVIC register, SCB register and
other special registers for exception and interrupt control, configuration control and auxiliary control registers.
Unit 3: Instruction Set of Cortex M3 and M4 Processors
Evolution of ARM ISA, Comparison of the instruction set in ARM Cortex-M Processors, Unified Assembly
Language, Addressing modes, Instruction set, Program flow control (branch, conditional branch, conditional
execution, and function calls), Multiply accumulate (MAC) instructions, Divide instructions, Memory barrier
instructions, Exception-related instructions, Sleep mode-related instructions, Other functions, Introduction to
Cortex-M4 processor support for Enhanced DSP instructions, Writing C and Assembly language programs.
Unit 4: Cortex OS Support and Memory Protection
OS support features, Shadowed stack pointer, SVC and PendSV exception, Context switching, Exclusive
accesses and embedded OS, MPU overview, MPU registers (type, control, region number, region base attribute,
region base address, alias), memory barrier configuration, memory management faults, fault handlers, exception
handling faults.
Unit 5: Floating Point Operations
Review of floating point numbers, Cortex M4 floating point unit (FPU), floating point registers, Lazy stacking,
interrupt of lazy stacking, Floating point exceptions. Introduction to advanced features of Cortex M3 and M4
processors. Introduction to Debug and trace, Debug architectures, modes and events.
Text and Reference Books:
1. The Definitive Guide to ARM Cortex M3 and Cortex-M4 Processors, Third Edition, Joseph Yiu, Elsevier Publication,
2015.
2. Assembly Language Programming ARM Cortex-M3 by Vincent Mahout Wiley Publication, 2012.
3. Embedded Systems with ARM Cortex-M Microcontrollers in Assembly Language and C, Yifeng Zhu, 2nd Edition, E-
Man Press LLC Publication, 2015.
4. ARM Assembly Language Fundamentals and Techniques, William Hohl and Christopher Hinds, CRC Press, 2015.
5. Embedded Systems: Introduction to Arm(r) Cortex -M Microcontrollers: 1, Jonathan W Valvano, 2015.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
17

Semester – II (Course Work)


ESS-206E1: Statistical Signal Processing
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To expose the concepts of feed forward neural networks.


 To explore the concepts of multi rate signal processing and multi rate filters.
 To study the adaptive filters and its applications.
 To learn fundamental concepts on signal processing in power spectrum estimation.
 The student will be able to a) Acquiring knowledge of how a multi rate system works, b) Ability to design and
implement decimator and interpolator and to design multi rate filter Bank, c) Understanding different spectral estimation
techniques and linear prediction. And d) Ability to design LMS and RLS adaptive filters for signal enhancement,
channel equalization

Unit 1: Multirate Signal Processing


Introduction-Sampling and Signal Reconstruction-Sampling rate conversion – Decimation by an integer factor
– interpolation by an integer factor –Sampling rate conversion by a rational factor –poly-phase FIR structures
– FIR structures with time varying coefficients - Sampling rate conversion by a rational factor- Multistage
design of decimator and interpolator.
Unit 2: Multirate FIR Filter Design
Design of FIR filters for sampling rate conversion –Applications of Interpolation and decimation in signal
processing –Filter bank implementation –Two channel filter banks-QMF filter banks – Perfect Reconstruction
Filter banks – tree structured filter banks - DFT filter Banks – M-channel filter banks- octave filter banks
Unit 3: Linear Estimation and Prediction
Linear prediction- Forward and backward predictions, Solutions of the Normal equations-Levinson-Durbin
algorithms. Least mean squared error criterion -Wiener filter for filtering and prediction, FIR Wiener filter and
Wiener IIR filters, Discrete Kalman filter.
Unit 4: Adaptive Filters
FIR Adaptive filters - Newton's steepest descent method – Adaptive filters based on steepest descent method
- LMS Adaptive algorithm – other LMS based adaptive filters- RLS Adaptive filters - Exponentially weighted
RLS - Sliding window RLS - Simplified IIR LMS Adaptive filter.
Unit 5: Power Spectral Estimation
Estimation of spectra from finite duration observations of a signal –The Periodogram-Use of DFT in Power
Spectral Estimation –Non-Parametric methods for Power Spectrum Estimation – Bartlett. Welch and
Blackman–Tukey methods –Comparison of performance of Non – Parametric power spectrum Estimation
methods –Parametric Methods - Relationship between auto correlation and model parameters, Yule-Walker
equations, solutions using Durbin’s algorithm, AR, MA, ARMA model based spectral estimation. Applications
of adaptive filters: Adaptive channel equalization Adaptive echo canceller - Adaptive noise cancellation-, 1/M
octave-band filter banks, Speech enhancement using spectrum estimation
Text and Reference Books:
1. H. Monson Hayes, Statistical Digital Signal Processing and Modeling, John Wiley and Sons, Inc., 2008.
2. G. John Proakis and G. Dimitris Manolakis, Digital Signal Processing, Pearson Education, 2006.
3. P.P.Vaidyanathan , Multirate Syatems and Filter Banks, Pearson Education, 2008.
4. N.J.Filege, Multirate Digital Signal Processing, John Wiley and Sons, 2000.
5. G..John Proakis, Algorithms for Statistical Signal Processing, Pearson Education, 2002.
6. G.Dimitris and G.Manolakis., Statistical and Adaptive Signal Processing, McGraw Hill, 2002.
7. Sophoncles J. Orfanidis, Optimum Signal Processing, McGraw Hill, 2007.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
18

Semester – II (Course Work)


ESS-206E2: Sensors and Actuators
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives
 Learning Objectives: To expose students to various types of Transducers, To expose students to various applications of
Transducers and To acquaint students with the design of various types of Transducers.
 Course Outcomes: After going through this course the student will be able to use sensors for particular application,
Design sensors for particular application and Think about the design of new types of sensors.
Unit 1: Introduction
Sensors / Transducers: Principles – Classification – Parameters – Characteristics – Environmental Parameters
(EP) – Characterization. Mechanical and Electromechanical Sensors: Introduction – Resistive Potentiometer
– Strain Gauge – Resistance Strain Gauge – Semiconductor Strain Gauges -Inductive Sensors: Sensitivity and
Linearity of the Sensor –Types-Capacitive Sensors.
Unit 2: Thermal Sensors:
Introduction – Gas thermometric Sensors – Thermal Expansion Type Thermometric Sensors – Acoustic
Temperature Sensor – Dielectric Constant and Refractive Index thermos-sensors – Helium Low Temperature
Thermometer – Nuclear Thermometer – Magnetic Thermometer – Resistance Change Type Thermometric
Sensors –Thermo-emf Sensors– Junction Semiconductor Types– Thermal Radiation Sensors –Quartz Crystal
Thermoelectric Sensors – NQR Thermometry – Spectroscopic Thermometry – Noise Thermometry – Heat
Flux Sensors.
Unit 3: Radiation Sensors:
Introduction – Basic Characteristics – Types of Photosensistors/Photo detectors– X-ray and Nuclear
Radiation Sensors– Fiber Optic Sensors
Unit 4: Smart Sensors:
Introduction – Primary Sensors – Excitation – Amplification – Filters – Converters –Compensation–
Information Coding/Processing - Data Communication – Standards for Smart Sensor Interface – The
Automation.
Unit 5: Actuators:
Pneumatic and Hydraulic Actuation Systems- Actuation systems – Pneumatic and hydraulic systems -
Directional Control valves – Pressure control valves – Cylinders - Servo and proportional control valves –
Process control valves – Rotary actuators Mechanical Actuation Systems- Types of motion – Kinematic chains.
Text and Reference Books:
Text Books
1. D. Patranabis – “Sensors and Transducers” –PHI Learning Private Limited.
2. W. Bolton – “Mechatronics” –Pearson Education Limited.
Reference Books
3. Sensors and Actuators – D. Patranabis – 2nd Ed., PHI, 2013.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
19

Semester – II (Course Work)


ESS-206E3: System on Chip Architecture
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives
 Learning Objectives: The course aims to provide an appreciation for the motivation behind SoC design, the challenges of
SoC design, and the overall SoC design flow and to understand SoC Verification flow and complexity in SoC
verification.
 Course Outcomes: After successful completion of the course, students should be able to: Understand the concept of System
on Chip., Get detailed knowledge of SoC Design flow, Get detailed understanding of System on Chip Design process,
Get detailed understanding of complexity in verification and to build SoC Verification environment., Understand the
different communication architecture used in MpSoC and CO5 Design memory and component based application on
MpSoC.
Unit 1: Motivation for SoC Design
Review of Moore‟s law and CMOS scaling, benefits of system-on-chip integration in terms of cost, power,
and performance. Comparison on System-on-Board, System-on-Chip, and System-in-Package. Typical goals
in SoC design – cost reduction, power reduction, design effort reduction, performance maximization.
Productivity gap issues and the ways to improve the gap – IP based design and design reuse.
Unit 2: System On Chip Design Process
A canonical SoC Design, SoC Design flow, waterfall vs spiral, top down vs bottom up, Specification
requirement, Types of Specification, System Design Process, System level design issues, Soft IP vs Hard IP, IP
verification and Integration, Hardware-Software codesign, Design for timing closure, Logic design issues,
Verification strategy, On chip buses and interfaces, Low Power, Hardware Accelerators in Soc.
Unit 3: Embedded Memories
Cache memories, flash memories, embedded DRAM. Topics related to cache memories. Cache coherence.
MESI protocol and Directory-based coherence.
Unit 4: Interconnect architectures for SoC
Bus architecture and its limitations. Network on Chip (NOC) topologies. Mesh-based NoC. Routing in an
NoC. Packet switching and wormhole routing.
Unit 5: MPSoCs and Case Study
MPSoCs: What, Why, How MPSoCs, Techniques for designing MPSoCs, Performance and flexibility for
MPSoCs design. Case Study: A Low Power Open Multimedia Application Platform for 3G Wireless.
Text and Reference Books:
Reference Books:
1. “SoC Verification-Methodology and Techniques”, Prakash Rashinkar, Peter Paterson and Leena Singh. Kluwer Academic
Publishers, 2001.
2. Sudeep Pasricha and Nikil Dutt,"On-Chip Communication Architectures: System on Chip Interconnect”, Morgan
Kaufmann Publishers © 2008.
3. Rao R. Tummala, Madhavan Swaminathan, “Introduction to system on package sop- Miniaturization of the Entire Syste”,
McGraw-Hill, 2008.
4. James K. Peckol, “Embedded Systems: A Contemporary Design Tool”, Wiley Student Edition.
5. Michael Keating, Pierre Bricaud, “Reuse Methodology Manual for System on Chip designs”, Kluwer Accademic Publishers,
2nd edition, 2008.
6. Sung-Mo Kang, Yusuf Leblebici, “CMOS Digital Integrated Circuits”, Tata Mcgraw-Hill, 3rd Edition.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
20

Semester – II (Course Work)


ESS-206E4: Multimedia and Signal Coding
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives
 Learning Objectives: To Identify Different MOS Technologies, their fabrication process, trends and projections, understand
basic electrical properties, threshold voltage concepts inform of mathematical equations, Design of combinational networks,
analyzation of power optimization, Apply these Concepts to Design validation, Need for clocking disciplines, methods,
design validation and testing and understanding of Different Floor planning methods, high level synthesis, off-chip
connections.
 Course Outcomes: After going through this course the student will be able to Understand the importance of multimedia in
today’s online and offline information sources and repositories, Understand how Text, Audio, Image and Video information
can be represented digitally in a computer, so that it can be processed, transmitted and stored efficiently, Gain a basic
understanding of Information Theory which underpins multimedia data compression Algorithms, Understand statistical
coding techniques including basic and advanced Video Compression Techniques including MPEG techniques. And
Understand the basic audio coding techniques including predictive coding and more advanced techniques based around LPC
and sub-band coding.
Unit 1: Introduction
Introduction to Multimedia: Multimedia, World Wide Web, Overview of Multimedia Tools, Multimedia
Authoring, Graphics/ Image Data Types, and File Formats. Color in Image and Video: Color Science – Image
Formation, Camera Systems, Gamma Correction, Color Matching Functions, White Point Correction, XYZ
to RGB Transform, Color Models in Images and video, Transformation from RGB to CMYK, Video Color
Transforms.
Unit 2: Video and Audio Concepts
Video Concepts: Types of Video Signals, Analog Video, Digital Video. Audio Concepts: Digitization of Sound,
Quantization and Transmission of Audio.
Unit 3: Compression Algorithms
Lossless Compression Algorithms: Run Length Coding, Variable Length Coding, Arithmetic Coding, Lossless
JPEG, Image Compression. Lossy Image Compression Algorithms: Transform Coding: KLT and DCT
Coding, Wavelet Based Coding. Image Compression Standards: JPEG and JPEG2000.
Unit 4: Video Compression Techniques
Introduction to Video Compression, Video Compression Based on Motion Compensation, Search for Motion
Vectors, H.261- Intra-Frame and Inter-Frame Coding, Quantization, Encoder and Decoder, Overview of
MPEG1 and MPEG2.
Unit 5: Audio Compression Techniques
ADPCM in Speech Coding, G.726 ADPCM, Vocoders – Phase Insensitivity, Channel Vocoder, Formant
Vocoder, Linear Predictive Coding, CELP, Hybrid Excitation Vocoders, MPEG Audio – MPEG Layers,
MPEG Audio Strategy, MPEG Audio Compression Algorithms, MPEG-2 AAC, MPEG-4 Audio.
Text and Reference Books:
Text Books
1. Fundamentals of Multimedia – Ze- Nian Li, Mark S. Drew, PHI, 2010.
2. Multimedia Signals & Systems – Mrinal Kr. Mandal Springer International Edition 1st Edition, 2009
Reference Books
3. Multimedia Communication Systems – Techniques, Stds&Netwroks K.R. Rao, Zorans. Bojkoric, Dragorad
A.Milovanovic, 1st Edition, 2002.
4. Fundamentals of Multimedia Ze- Nian Li, Mark S.Drew, Pearson Education (LPE), 1st Edition, 2009.
5. Multimedia Systems John F. KoegelBufond Pearson Education (LPE), 1st Edition, 2003.
6. Digital Video Processing – A. Murat Tekalp, PHI, 1996.
7. Video Processing and Communications – Yaowang, JornOstermann, Ya-QinZhang, Pearson, 2002

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
21

Semester – II (Course Work)


ESS-207L: ARM Cortex Microcontroller Lab
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 1 6 0 70 80 150 3 Hours

List of Experiments
1.
Exploring GPIO lines of LPC1768 and interfacing it with LEDs and blinking it in different
fashion.
Interface Switch and Relay to LPC1768. If a switch is pressed then the relay would be ON and if
2.
another switch is pressed relay would be OFF.
3. Interface 16X2 LCD to LPC1768 and display a string on it.
4.
Study UART protocol and perform following experiments in polling mode as well as Interrupt
mode. a) Transmit a string of characters on UART; b) Receive a string of characters on UART.
5. Interfacing Seven Segment Display to LPC1768.
6. Write a program to show digital values using on chip ADC.
7. Interfacing TFT display to LPC1768.
8. Implementing ETHERNET protocol using LPC1768.
Additional Experiments (using Cortex M4) (Any Three)
Explore GPIO lines of ARM Cortex M4 and interface Matrix Keypad to it and display key code
9.
of the corresponding key pressed.
10.
Study I2C protocol and interface I2C based EEPROM to ARM Cortex M4 and write and read a
character on EEPROM.
11.
Study SPI protocol and interface SPI based EEPROM to ARM Cortex M4 and write and read a
character on EEPROM.
12. Write a program to set and display date and time of on chip RTC.
13. Write a program to generate different wave form like square, triangular, Sine wave using DAC.
14. Study CAN protocol and Write a program for CAN self-test
15. Write a program to understand watch dog timer.

Semester – II (Course Work)


ESS-208L: CPLD and FPGA Lab
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 1 6 0 70 80 150 3 Hours

List of Experiments
1. Study of Xilinx boards.
2. Study of Actel boards.
3. Designing combinational and sequential circuits on FPGA Kit.
a) Adders and subtarctors.
b) Multiplexers and demultiplexers
c) Encoders and decoders
d) Flip-Flops
e) Counters
f) Shift Registers
g) RAM
h) Basic Microprocessor
4. Designing combinational and sequential circuits using VHDL.
a) Counters
b) Shift Registers
c) RAM
d) Basic Microprocessor
5. Experiments on FPGA applications

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
22

Semester – III (Course Work)


ESS-301C: Digital Signal Processor and Architecture
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives
 Learning Objectives: To cover techniques for designing efficient DSP architectures, To study the Architectural details of
TMS320C54xx DSPs and the concepts involved in execution control and pipelining, To present clear idea of Number
formats for signals and sources of errors in DSP implementation, Memory & I/O interfacing for digital signal processors
and Implementations of basic DSP algorithms.
 Course Outcomes: After going through this course the student will be able to Understand concepts of Digital signal
processor, like its architecture, registers etc., Writing programs using the instruction set of DSP processor, Interfacing
different devices to the processor., Understand all the peripherals existing on the DSP processor, Apply DSP processors
in real time and Ability to analyze the DSP algorithms.
Unit 1: Introduction
Introduction to DSP Processors: Digital Signal Processors, various architectures: VLIW Architecture, Multiprocessor
DSPs, SHARC, SIMD, MIMD, RISC and CISC. Execution Control and Pipelining: Hardware looping, Interrupts, Stacks,
Relative Branch support, Pipelining and Performance, Pipeline Depth, Interlocking, Branch effects, Interrupt effects,
Pipeline Programming models.
Unit 2: Typical real-time DSP Systems
Data representations and arithmetic, Conventional number system, redundant number system, residue number system-
bit- parallel and bit-serial arithmetic, basic shift accumulator, reducing the memory size, complex multipliers, improved
shift – accumulator, Analog - to – digital conversion process, Uniform and non-uniform quantization and encoding,
Oversampling in A/D conversion, Digital to analog conversion process: signal recovery, the DAC, Anti-imaging filtering,
Oversampling in D/A conversion, Analog I/O interface for real-time DSP systems, sources of errors in DSP
implementation, real time implementation considerations.
Unit 3: Fixed-Point DSP Processors
Architecture of TMS 320C 5X, C54X Processors, addressing modes, Memory space of TMS320C54XX Processors,
Program Control, TMS320C54XX instructions and Programming, On-Chip Peripherals, Interrupts of TMS320C54XX
processors, Pipeline operation of TMS320C54XX Processors, speed issues.
Unit 4: Memory and I/O Interfacing
External bus interfacing signals, Memory interface, Parallel I/O interface: Programmed I/O, Interrupts and I/O, Direct
memory access (DMA). Hardware interfacing, Multichannel Buffered Serial Port (McBSP), McBSP Programming,
CODEC interface circuit.
Unit 5: Implementation of DSP Algorithms
The Q-notation, FIR Filters, IIR Filters, Interpolation Filters, Decimation Filters, PID Controller, Adaptive Filters, 2-D
Signal Processing. An FFT Algorithm for DFT Computation, A Butterfly Computation, Overflow and scaling, Bit-
Reversed index generation, An 8-Point FFT implementation on the TMS320C54XX.
Text and Reference Books:
Text Books
1. Digital Signal Processing – Avtar Singh and S. Srinivasan, Thomson Publications, 2004.
2. Digital Signal Processing A Practical approach, Second Edition, Emmanuel C. Ifeachor,Barrie W Jervis, Pearson
Publications. 2002.
3. Keshab K. Parhi, VLSI digital Signal Processing Systems design and Implementation, John Wiley and Sons, 2004.
Reference Books
4. Digital Signal processors Architectures, implementations and Applications-SenM.Kuo, Woon-SengS.Gan, Pearson
Publications, 2009.
5. Digital Signal Processors, Architecture, Programming and Applications – B. VenkataRamani and M. Bhaskar,TMH,
2004.
6. Digital Signal Processing – Jonatham Stein, John Wiley, 2005.
7. DSP Processor Fundamentals, Architectures and Features – Lapsley , S. Chand, 2000.
8. “DSP Applications with TMS 320 Family”, K. Shin, Prentice Hall, 1987.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
23

Semester – III (Course Work)


ESS-302C: Mixed Signal Embedded Systems
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 Learning Objectives: To introduce the principles of Analog and Mixed Signal System Design, Design and Analysis of
Complex Analog and Mixed CMOS Circuits to provide a foundation for more complicated and advanced Designs, To
introduce the concept of switched capacitor techniques and To address practical issues in Analog and Mixed Signal
System Design
 Course Outcomes: After successful completion of the course, students should be able to: Detailed knowledge of static and
dynamic behavior of CMOS logic, Detailed understanding of CMOS Digital Subsystem Design, Timing analysis and
synchronization of digital design, Basic understanding of Analog circuit building blocks, Detailed understanding of
Analog Mixed Signal Circuit Design and Detailed Understanding of Data Converters.

Unit 1: Analog and Mixed Signal Circuits


Perspective - Analog Signal Processing, Example of Analog Mixed Signal Circuit Design, MOS Transistor
operation – analog complementary metal oxide semiconductor circuits, MOS Transistor as a Switch, NMOS,
PMOS and CMOS Switches, current mirrors.
Unit 2: Amplifiers - I
Single stage Amplifier: CS stage with resistance load, divide connected load, current source load, triode load,
CS stage with source degeneration, source follower, common-gate stage, cascade stage, choice of device
models. Frequency response of CS stage: source follower, Common gate stage, Cascade stage and Difference
pair. Differential Amplifiers: Mirrors: Basic difference pair, common mode response, Differential pair with OS
loads, Gilbert cell.
Unit 3: Amplifiers - II
Operational Amplifiers: One Stage OP-Amp. Two Stage OP-Amp, Gain boosting, Common Mode Feedback,
Slew rate, Power Supply Rejection, Noise in Op Amps. Oscillators and Phase Locked Loops: Simple PLL,
Charge pump PLL, Non-ideal effects in PLL, Delay locked loops and applications.
Unit 4: Bandgap References and Switched Capacitor Circuits
General Considerations, Supply Independent biasing, PTAT Current Generation, Constant Gm Biasing,
Sampling Switches, Switched Capacitor Amplifiers. Switched Capacitor Circuits: Switched capacitor (SC)
introduction - offset cancellation - clock feed - through -switched capacitor amplifiers - switched capacitor
integrators - switched capacitor filters.
Unit 5: Data Converters
DAC: Static & Dynamic Charatersitics, DAC architectures, ADC : Static & Dynamic Characteristics, Nyquist
Criteria , Sample & Hold Circuit ,Quantization error, Concept of over sampling, ADC architectures, Nyqusist
rate converters – over sampling converters pipelined/parallel converters - high speed analog to digital converter
design, high speed digital to analog converter design and mixed signal design for radar application – Data
converter modules used for LIGO.
Text and Reference Books:
Text Books
1. Allen, CMOS Analog Circuit Design, Oxford, 2005.
2. Baker, CMOS Mixed-Signal Circuit Design, Wiley, 2004.
3. “Design of Analog CMOS Integrated Circuits”, Behzad Razavi, TMH, 2007.
References
4. Breems, Continuous-Time Sigma Delta Modulations for A/D Conversion, Kluwer, 2002.
5. Michelle Steyaert, Analog Circuit Design, Kluwer, 2003.
6. Gray and Meyer, Analysis and Design of Analog Integrated Circuits, Wiley, 2004.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
24

Semester – III (Course Work)


ESS-303E1: Embedded System Security and Forensics
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To introduction of embedded security issue. Security major concerns data, design and system protection.
 To learn cryptographic concepts in the context of embedded systems and their unique constraints and requirements.
 To expose to forensics procedures and digital data acquisition mechanisms using FKT and FRED

Unit 1: Introduction
The CIA Triad, Identification, Authentication and Authorization, Security Principles and Models. Network
Attacks - Types and Sources, Architecture Security, Secure Network design, Firewalls, introduction to Intrusion
Controls (IDS/IPS), Introduction to Wireless LAN Security Standards, The One-Time Pad, Cryptographic
Modes, Block Ciphers, Authenticated Encryption, Public Key Cryptography, Key Agreement, Public Key
Authentication
Unit 2: Embedded Cryptography
Elliptic Curve Cryptography, Cryptographic Hashes, Message Authentication Codes, Random Number
Generation, Key Management for Embedded Systems, Cryptographic Certifications. Introduction to data
protection protocols for embedded systems. Internet security for embedded systems, IPsec.
Unit 3: Embedded Systems Security
Embedded system security requirements and issues, embedded software attacks and countermeasures,
Hardware Security in Embedded Systems, secured hardware architectures for embedded systems, tamper-
resistant hardware, Introduction to trust models for secure embedded hardware and software embedded
processing architectures for security, Communications Security in Embedded Systems.
Unit 4: Digital Forensics
The Six A's, Forensic Types: Disk Forensics, Network Forensics, Mobile Device Forensics, Live Forensics,
Memory Forensics, Multimedia Forensics, Internet Forensics, Cyber Crime Investigations and Digital
Forensics, Disk Based Forensics, Cybercrime, Forensic process and methodology, Digital evidence, Incident
response, Searching and analysis tools, Email & Browsers, Intrusion detection, Attack trace-back, Packet
inspection, Log analysis, Hashing issues, Anti-forensics (encryption and stealth techniques), Forensics in
embedded systems.
Unit 5: Practice with Forensic Tools
Data Acquisition Hardware Tools, Use FRED to create images on different media, recovering the deleted files,
Investigative tools (Open Source and Proprietary), Using Forensic Software such as FTK/Encase etc. Use
FTK preview evidence, export evidence files, create forensic images and convert existing images, create a case
in FTK, Use FTK to process and analyze documents, metadata, graphics and e-mail, Use the FTK Data
Carving feature to recover files from unallocated disk space. Web/E-mail forensics analysis, Mobile evidence,
Extracting and analysing mobile evidence.
Text and Reference Books:
1. David Kleidermacher, Mike Kleidermacher, Embedded Systems Security - Practical Methods for Safe and Secure
Software and Systems Development, Newnes, Elsevier, 2012.
2. Charlie Kaufman, Radia Perlman, and Mike Speciner, Network Security: PRIVATE Communication in a PUBLIC
World, Prentice Hall.
3. Francine Krief (Editor), Communicating Embedded Systems: Networks Applications, Wiley.
4. John Sammons, Digital Forensics with the AccessData Forensic Toolkit (FTK), MCGRAW HILL COMPANIES
5. CEH: Certified Ethical Hacker Version 8 Study Guide by Sean-Philip Oriyano (Author) Publisher sybex

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
25

Semester – III (Course Work)


ESS-303E2: Network on Chip
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To understand the fundamentals of 3D NOC.


 To impart knowledge about testing and energy issues in NOC.
 To understand the router architectures in 3D NOC.
 The student will be able to a) Understand the need for 3D NOC, b) Know the concepts used in testing and reduction of
power in NOC, and c) Learn the architecture and working of routers in 3D NOC.

Unit 1: Introduction to Three Dimensional NOC


Three-Dimensional Networks-on-Chips Architectures - Resource Allocation for QoS On-Chip
Communication - Networks-on-Chip Protocols-On-Chip - Processor Traffic Modeling for Networks-on-Chip.
Unit 2: Test and Fault Tolerance of NOC
Design-Security in Networks-on-Chips-Formal Verification of Communications in Networks-on- Chips-Test
and Fault Tolerance for Networks-on-Chip Infrastructures- Monitoring Services for Networks-on-Chips.
Unit 3: Energy and Power Issues of NOC
Energy and Power Issues in Networks-on-Chips-The CHAIN works Tool Suite: A Complete Industrial Design
Flow for Networks-on-Chips
Unit 4: Micro-Architecture of NOC Router
Baseline NoC Architecture – MICRO-Architecture Exploration ViChaR: A Dynamic Virtual Channel
Regulator for NoC Routers- RoCo: The Row-Column Decoupled Router – A Gracefully Degrading and
Energy-Efficient Modular Router Architecture for On-Chip Networks. Exploring Fault Tolerant Networks-
on-Chip Architectures.
Unit 5: DimDE Router for 3D NOC
A Novel Dimensionally-Decomposed Router for On-Chip Communication in 3D Architectures- Digest of
Additional NoC MACRO-Architectural Research. ViChaR router – Wormhole router – RoCo Row Column
Decoder router.
Text and Reference Books:
1. Chrysostomos Nicopoulos, Vijaykrishnan Narayanan, Chita R.Das, Networks-on- Chip Architectures AHolistic Design
Exploration, Springer, 2009.
2. Fayezgebali, Haythamelmiligi, Hqhahed Watheq E1-Kharashi, Networks-on-Chips theory and practice, CRC press, 2009.
3. Axel Jantsch , Hannu Tenhunen, Networkson Chip, Publisher: Springer; Soft cover reprint of hardcover 1st ed. 2003
edition (November 5, 2010).
4. Giovanni De Micheli, Luca Benini, Networkson Chips: Technology and Tool (Systems on Silicon), Publisher: Morgan
Kaufmann; 1 edition (August 3, 2006).
5. Jose Flich, DavideBertozzi, Designing Network On-Chip Architectures in the Nanoscale Era, Publisher: Chapman and
Hall/CRC; 1 edition (December 18,2010)

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
26

Semester – III (Course Work)


ESS-303E3: Adhoc and Wireless Sensor Networks
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

The student should be made to:


 Understand the design issues in ad hoc and sensor networks.
 Learn the different types of MAC protocols.
 Be familiar with different types of adhoc routing protocols.
 Be expose to the TCP issues in adhoc networks.
 Learn the architecture and protocols of wireless sensor networks.

Unit 1: Introduction
Fundamentals of Wireless Communication Technology – The Electromagnetic Spectrum – Radio propagation
Mechanisms – Characteristics of the Wireless Channel -mobile ad hoc networks (MANETs) and wireless
sensor networks (WSNs): Concepts and architectures. Applications of Ad Hoc and Sensor networks. Design
Challenges in Ad hoc and Sensor Networks.
Unit 2: MAC Protocols for AD HOC Wireless Networks
Issues in designing a MAC Protocol- Classification of MAC Protocols- Contention based protocols-
Contention based protocols with Reservation Mechanisms- Contention based protocols with Scheduling
Mechanisms – Multi channel MAC-IEEE 802.11
Unit 3: Routing Protocols and Transport Layer in Ad Hoc Wireless Networks
Issues in designing a routing and Transport Layer protocol for Ad hoc networks- proactive routing, reactive
routing (on-demand), hybrid routing- Classification of Transport Layer solutions-TCP over Ad hoc wireless
Networks.
Unit 4: WSN and MAC Protocols
Single node architecture: hardware and software components of a sensor node – WSN Network architecture:
typical network architectures-data relaying and aggregation strategies -MAC layer protocols: self-organizing,
Hybrid TDMA/FDMA and CSMA based MAC- IEEE 802.15.4.
Unit 5: WSN Routing, Localization & QOS
Issues in WSN routing – OLSR- Localization – Indoor and Sensor Network Localization-absolute and relative
localization, triangulation-QOS in WSN-Energy Efficient Design-Synchronization-Transport Layer issues.
Text and Reference Books:
1. Carlos De Morais Cordeiro, Dharma Prakash Agrawal “Ad Hoc & Sensor Networks: Theory and Applications”, World
Scientific Publishing Company, 2006.
2. Feng Zhao and Leonides Guibas, “Wireless Sensor Networks”, Elsevier Publication – 2002.
3. Holger Karl and Andreas Willig “Protocols and Architectures for Wireless Sensor Networks”, Wiley, 2005
4. Kazem Sohraby, Daniel Minoli, & Taieb Znati, “Wireless Sensor Networks-Technology, Protocols, and Applications”,
John Wiley, 2007.
5. Anna Hac, “Wireless Sensor Network Designs”, John Wiley, 2003.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
27

Semester – III (Course Work)


ESS-303E4: Embedded Networks and Protocols
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To understand the fundamentals of embedded systems programming, real-time operating systems.


 To develop understanding of power-aware protocols for networks of small devices.
 To explore newly established standards for embedded systems and ubiquitous computing.

Unit 1: Embedded Communication Protocols


Embedded Networking: Introduction – Serial/Parallel Communication – Serial communication protocols -
RS232 standard – RS485 – Synchronous Serial Protocols -Serial Peripheral Interface (SPI) – Inter Integrated
Circuits (I2C) – PC Parallel port programming.
Unit 2: USB and CAN Bus
USB bus – Introduction – Speed Identification on the bus – USB States – USB bus communication: Packets
–Data flow types –Enumeration – Descriptors –PIC18 Microcontroller, USB Interface – C Programs –CAN
Bus – Introduction - Frames –Bit stuffing –Types of errors –Nominal Bit Timing – PIC microcontroller CAN
Interface.
Unit 3: Ethernet Basics
Elements of a network – Inside Ethernet – Building a Network: Hardware options – Cables Connections and
network speed – Design choices: Selecting components –Ethernet Controllers – Using the internet in local and
internet communications.
Unit 4: Embedded Ethernet
Exchanging messages using UDP and TCP – Serving web pages with Dynamic Data – Serving web pages that
respond to user Input – Using FTP – Keeping Devices and Network secure.
Unit 5: Wireless Embedded Networking
Wireless sensor networks – Introduction – Applications – Network Topology – Localization – Time
Synchronization - Energy efficient MAC protocols –SMAC – Energy efficient and robust routing. ISA/PCI
Bus protocols –Firewire - A simple application with CAN - Inside the Internet protocol - Email for Embedded
Systems - Data Centric routing.
Text and Reference Books:
1. Frank Vahid, Givargis Embedded Systems Design: A Unified Hardware/Software Introduction, Wiley Publications,
2002.
2. Jan Axelson, Parallel Port Complete, Penram publications, 2011.
3. Dogan Ibrahim, Advanced PIC microcontroller projects in C, Elsevier 2008.
4. Jan Axelson Embedded Ethernet and Internet Complete, Penram publications, 2005.
5. Bhaskar Krishnamachari, Networking wireless sensors, Cambridge press 2005.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
28

Semester – III (Course Work)


ESS-304E1: Internet of Things
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To learn concepts of convergence of technologies leading to the new buzzes such as “Internet of Things” and “Web of
Things”.
 To understand the architecture, communication pattern and protocols of Internet of Things.
 To explore the emerging applications of Internet of Things.
 To develop application for Internet of things.
 To use hardware platforms such as Raspberry Pi and Arduino to build Internet of Things.

Unit 1: Introduction
Introduction to concepts behind the Internet of Things, Review of technologies enabling IoT- Sensors, Networks,
Standards, Augmented intelligence and Augmented behaviour, Applications of internet of things, IoT Communication
Pattern and Layering concepts, Cellular IoT, IoT cloud.
Unit 2: IoT Architectures and Models
Overview of various IoT architectures (European FP7, WSO2, IVM, CISCO, IoT-A, RAMI4.0, IIRA),IEEE P2413
reference architecture model, Functions of application, network, adaptation, MAC and PHY layers, IEEE 802.15.4
standard (Modulation techniques, Frames, Multi-hop communication), Overview of security in IEEE 802.15.4.
Unit 3: IoT Protocols
IoT protocol stack verses traditional protocol stack, CoAP - Working, Frame structure, Security modes and URI
addressing, 6LoWPAN - Frame Structure, Header Compression, Fragmentation, Mesh Addressing, RPL – Routing,
DODAGE, ICMPV6, DTLS – handshaking, Frame structure, Overview of various other infrastructure, identification,
transport, discovery, data, device and semantic protocols.
Unit 4: IoT Hardware & Software
Overview of IoT supported Hardware platforms - Raspberry Pi, ARM Cortex Processors, Arduino and Intel Galileo
boards. Sensors and Sensor Node and interfacing using any Embedded target boards (Raspberry Pi / Intel Galileo/ARM
Cortex/ Arduino), Operating systems for IoT, Introduction to IoT web services,
Unit 5: IoT Application Development
Introduction to Integrating Internet Services. XML and JSON. HTTP APIs for accessing popular Internet services
(Facebook, Twitter, and others). Practice with developing IoT applications (Sensor integration, node communication,
cloud communication and integrating web services).
Text and Reference Books:
1. .6LoWPAN: The Wireless Embedded Internet, Zach Shelby, Carsten Bormann, Wiley.
2. Internet of Things: Converging Technologies for Smart Environments and Integrated Ecosystems, Dr. Ovidiu Vermesan,
Dr. Peter Friess, River Publishers.
3. Interconnecting Smart Objects with IP: The Next Internet, Jean-Philippe Vasseur, Adam Dunkels, Morgan Kuffmann
4. The Internet of Things: From RFID to the Next-Generation Pervasive Networked Lu Yan, Yan Zhang, Laurence T.
Yang, Huansheng Ning.
5. Internet of Things (A Hands-on-Approach), Vijay Madisetti , Arshdeep Bahga.
6. Designing the Internet of Things, Adrian McEwen (Author), Hakim Cassimally.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
29

Semester – III (Course Work)


ESS-304E2: RF Microelectronics
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives and Course Outcomes

Learning Objectives
 To introduce the principles of RF components and devices.
 To introduce high frequency amplifier design.
 To introduce RF filter design
 To introduce low noise amplifiers.
 To introduce RF PLL models, RF oscillators and Mixers
Course Outcomes:
 After successful completion of the course, students should be able to:
 Detailed knowledge of RF design of amplifiers and filters.
 Detailed understanding of RF oscillators and mixers.
 Detailed understanding of high frequency PLLs.

Unit 1: Introduction to RF Electronics


Active RF Components and their characteristic parameters: RF diodes, BJT, FET, HEMT.
Unit 2: RF Filter Design
Filter configurations, resonators, filter realizations – Butterworth, Chebychev.
Unit 3: High-Frequency Amplifier Design
Zeros as bandwidth enhancer, shunt series amplifier, bandwidth enhancement with fT doublers, voltage
references and biasing, tuned and cascaded amplifiers, RF Power Amplifier Design.
Unit 4: RF Transreceivers
Noise in RF Circuits: types of noise, two port noise theory, Low-Noise Amplifier (LNA) – intrinsic MOSFET
two port noise parameters, LNA topologies, design example, LNA Design example.
Unit 5: RF Phase-Locked Loops
Phase-Locked Loops: PLL models, noise properties, sequential phase detectors, loop filters and charge pumps.
RF Oscillators: tuned and negative resistance oscillators.
Mixers: non-linear systems as mixers, multiplier based mixers.
Text and Reference Books:
Text Books
1. Behzad Razavi, “RF Microelectronics”, Pearson Education.
2. Reinhold Ludwig, Paul Bretchko,“RF Circuit Design: Theory & Applications ”.
3. T. H. Lee, The Design of CMOS Radio Frequency Integrated Circuits, CUP.
4. R. Ludwig and P. Bretchko, RF Circuit Design, Pearson.
Reference Books
5. B. Leung, VLSI for Wireless Communication, PH.
6. B. Razavi, Phase-Locking in High-Performance Systems, Wiley/IEEE.
7. B. Razavi, Monolithic Phase-Locked Loops and Clock Recovery Circuits, IEEE Press.
8. R. E. Best, Phase-Locked Loops : Design, Simulation and Applications, Fifth Edition, MH.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
30

Semester – III (Course Work)


ESS-304E3: Industrial Robotics
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To learn about the hardware, controls, peripheral equipment, and successful implementation of a robotic system in a
flexible production environment.
 To learn factory communications.
 To describe the structure of robot manipulator.
 Students will be equipped with the automation and brief history of robot and applications.
 Students will be familiarized with the kinematic motions of robot.
 Students will have good knowledge about robot end effectors and their design concepts.

Unit 1: Introduction to Robotics


Robotic Classification, Robot Specifications, Motion – Bug and tangent algorithms, Potential Function, Road
maps- Topological roadmaps, Cell decomposition – Trapezoidal and Morse cell decompositions, Sensor and
sensor planning- Kinematics-Forward and Inverse Kinematics Transformation matrix and DH
Transformation-Inverse Kinematics.
Unit 2: Computer Vision
Projection - Optics, Projection on the Image Plane and Radiometry. Image Processing - Connectivity, Images-
Gray Scale and Binary Images, Blob Filling, Thresholding, Histogram- Convolution - Digital Convolution and
Filtering and Masking Techniques- Edge Detection - Mono and Stereo Vision.
Unit 3: Sensors and Sensing Devices
Introduction to various types of sensor- Resistive sensors. Range sensors - LADAR (Laser Distance and
Ranging, Sonar, Radar and Infra-red- Introduction to sensing - Light sensing, Heat sensing, touch sensing and
Position sensing.
Unit 4: PLC
Building blocks of automation, Controllers – PLC- Role of PLC in Robotics & FA -Architecture of PLC -
Advantages - Types of PLC - Types of Programming - Simple process control programs using Relay Ladder
Logic and Boolean logic methods - PLC arithmetic functions.
Unit 5: Factory Automation
Flexible Manufacturing Systems concept - Automatic feeding lines, ASRS, transfer lines, automatic inspection
- Computer Integrated Manufacture - CNC, intelligent automation. Industrial networking, bus standards, HMI
Systems, DCS and SCADA, Wireless controls. Inverse Kinematics - Geometric methods and Algebraic
methods-SCARA robot - PUMA robot.
Text and Reference Books:
1. Duda, Hart and Stork, Pattern Recognition, Wiley-Inter science, 2000.
2. Mallot, Computational Vision: Information Processing in Perception and Visual Behavior, Cambridge, 2000.
3. Stuart Russell and Peter Norvig, Artificial Intelligence-A Modern Approach, Pearson Education Series in Artificial
Intelligence, 2004.
4. Robert Schilling and Craig, Fundamentals of Robotics: Analysis and Control, Hall ofIndia Private Limited, 2003.
5. Forsyth and Ponce, Computer Vision: A Modern Approach, Person Education, 2003.
6. Richard D Klafter, Thomas A Chmielewski, Michael Negin, Robotics Engineering – An Integrated Approach, Eastern
Economy Edition, Prentice Hall of India P Ltd., 2006 Deh S R., Robotics Technology

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
31

Semester – III (Course Work)


ESS-305E1: Soft Computing Techniques
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To expose the concepts of feed forward neural networks.


 To provide adequate knowledge about feedback neural networks.
 To teach about the concept of fuzziness involved in various systems.
 To expose the ideas about genetic algorithm.
 The student will be able to a) Apply derivative based and derivative free optimization, b) Demonstrate some applications
of computational intelligence and c) Analyze and model computer systems specific problems, identify and define the
appropriate requirements for their solutions.

Unit 1: Introduction of Soft Computing


Introduction of soft computing - soft computing vs. hard computing- various types of soft computing
techniques- applications of soft Computing-Neuron- Nerve structure and synapse- Artificial Neuron and its
model- activation functions.
Unit 2: Introduction to Artificial Neural Networks
Neural network architecture- single layer and multilayer feed forward networks- McCullochPitts neuron model-
perceptron model- Adaline and Madaline- multilayer perception model- back propagation learning methods-
effect of learning rule coefficient - back propagation algorithm- factors affecting back propagation training –
applications.
Unit 3: Artificial Neural Networks
Counter propagation network- architecture- functioning & characteristics of counter- Propagation Network-
Hopfield/ Recurrent network- configuration- stability constraints associative memory- and characteristics-
limitations and applications- Hopfield v/s Boltzman machine- Adaptive Resonance Theory- Architecture-
Classifications-Implementation and Training-Associative Memory.
Unit 4: Fuzzy Logic System
Introduction to crisp sets and fuzzy sets- basic fuzzy set operation and approximate reasoning. Introduction
to fuzzy logic modelling and control- Fuzzification- inferencing and de-fuzzification- Fuzzy knowledge and
rule bases-Fuzzy modelling and control schemes for nonlinear systems. Self-organizing fuzzy logic control-
Fuzzy logic control for nonlinear time delay system.
Unit 5: Genetic Algorithm
Basic concept of Genetic algorithm and detail algorithmic steps-adjustment of free Parameters- Solution of
typical control problems using genetic algorithm- Concept on some other search techniques like tabu search
and ant colony search techniques for solving optimization problems. Variants of Binary Encoded Genetic
Algorithms: Micro Genetic Algorithm, Messy Genetic Algorithm, Greedy Genetic Algorithm etc. and their
usage in Engineering Problems.
Text and Reference Books:
1. Laurene V. Fausett, Fundamentals of Neural Networks: Architectures, Algorithms & Applications, Pearson Education,
2009
2. Timothy J. Ross, “Fuzzy Logic with Engineering Applications” Wiley India.2008
3. Zimmermann H.J. "Fuzzy set theory and its Applications" Springer international edition 2011.
4. David E.Goldberg, “Genetic Algorithms in Search, Optimization, and Machine
5. Learning”, Pearson Education,2009.
6. W.T.Miller, R.S.Sutton & P.J.Webrose, “Neural Networks for Control”, MIT Press, 1996.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
32

Semester – III (Course Work)


ESS-305E2: Digital Communication Techniques
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 Different types of Signals.


 Define different types of Digital Modulation Techniques.
 Correlation and Intersymbol Interference.
 To analyze error performance of a digital communication system in presence of noise and other interferences.
 Understand the behaviour of Band-limited Channels

Unit 1: Introduction
Digital communication system (description of different modules of the block diagram), Complex baseband
representation of signals, Gram-Schmidt orthogonalization procedure. M-ary orthogonal signals, bi-orthogonal
signals, simplex signal waveforms.
Unit 2: Digital Modulation Techniques
Pulse amplitude modulation (binary and M-ary, QAM), Pulse position modulation (binary and M-ary), Carrier
modulation (M-ary ASK, PSK, FSK, DPSK), Continuous phase modulation (QPSK and variants, MSK,
GMSK).
Unit 3: Receiver in additive white Gaussian noise channels
Coherent and non-coherent demodulation: Matched filter, Correlator demodulator, square-law, and envelope
detection; Detector: Optimum rule for ML and MAP detection Performance: Bit-error-rate, symbol error rate
for coherent and non-coherent schemes.
Unit 4: Band-limited channels
Pulse shape design for channels with ISI: Nyquist pulse, Partial response signalling (duo-binary and modified
duo binary pulses), demodulation; Channel with distortion: Design of transmitting and receiving filters for a
known channel and for time varying channel (equalization); Performance: Symbol by symbol detection and
BER, symbol and sequence detection, Viterbi algorithm.
Unit 5: Synchronization and Communication over Fading Channels
Different synchronization techniques (Early-Late Gate, MMSE, ML and spectral line methods). Characteristics
of fading channels, Rayleigh and Rician channels, receiver performance-average SNR, outage probability,
amount of fading and average bit/symbol error rate
Text and Reference Books:
1. Digital Communication, Barry, John R., Lee, Edward A., Messerschmitt, David G. Springer.
2. Digital Communications, John G. Proakis, Masoud Salehi, 5th Edition, McGraw-Hill Education.
3. Digital Communications, Haykin, John Wiley and Sons.
4. Digital Communications, Fundamentals and Applications, Bernard Sklar, Prentice Hall Ltd
5. Introduction to Digital communications, Micheal. B. Pursley, prentice Hall Ltd.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
33

Semester – III (Course Work)


ESS-305E3: Automotive Embedded Electronics
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To gain knowledge about various parameters about the performance of Engine.


 To gain the knowledge of various Electronic control system used in the Automobiles.
 To be able to understand the state of the art technology used in the automobiles.

Unit 1: Internal Combustion Engine Fundamentals


Engine types and their operations: Engine Operating Cycles, Engine Components, Spark-Ignition Engine
Operation, Examples of Spark-Ignition Engines, Compression-Ignition Engine Operation, Engine
parameters: Geometrical Properties of Reciprocating Engines, Brake Torque and Power, Indicated Work per
Cycle, Mechanical Efficiency, Road-Load Power, Mean Effective Pressure, Specific Fuel Consumption and
Efficiency, Air/Fuel and Fuel/Air Ratios, Thermo-chemistry of Air/fuel mixture: Ideal Gas Model,
Composition of Air and Fuels, Combustion Stoichiometry.
Unit 2: Automotive Electronic Control Systems
Power train domain: Engine control unit, Electronic fuel injection control, Electronic ignition control, Chassis
domain: ABS, ESP, EPS, Body domain: Doors, window lift, seat control, lighting, heating, ventilation and AC
control, Automotive sensors and actuators required for above domains.
Unit 3: Automotive Networks
Automotive communication system: characteristics and constrains, In-car embedded networks review,
Middleware, AUTOSAR, Issues for automotive communication system, Study of automotive communication
standards: CAN, Flex-Ray, review of LIN bus.
Unit 4: Model Based Software Development
Product lines in automotive electronics, MBD for Automotive Embedded Systems, Context, Concerns, and
Requirements, MBD Technology, State of the Art and Practice, Guidelines for Adopting MBD.
Unit 5: Testing Automotive Control Software
Test Activities and Testing Techniques, testing in the Development Process Test Planning Testing and
Monitoring of Flex-Ray Based Applications, Objectives for Testing and Monitoring, Monitoring and Testing
Approaches Discussion of Approaches.
Text and Reference Books:
1. “Internal Combustion Engine Fundamentals”, John B. L Heywood, Mc-GrawHill Inc.
2. “Automotive Embedded Systems Handbook”, edited by Nicolas Navet , CRC press.
3. “Understanding Automotive Electronics”, by Williams Ribbens, Elsevier Pub.
4. “Automotive Electronics Handbook”, Ronald K. Jurgen Mc-GrawHill Inc.
5. “BOSCH CAN Specifications Version 2”.
6. “Flex Ray and its Applications”, Dominique Pret, Wiley Publication.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
34

Semester – III (Course Work)


ESS-305E4: RF Engineering
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
3 1 0 4 50 100 150 3 Hours

Learning Objectives

 To learn working of various electronic components in radio frequency range.


 To design circuits and systems including communication circuits which can be operated in radio frequency range.

Unit 1: RF Passive Components and Transmission Line Analysis


High frequency Resistors, Capacitors and Inductors – Transmission Line Analysis line equation –Micro stripe line – SWR
voltage reflection co-efficient propagation constant, phase constant, phase velocity – smith chart – parallel RL and RC
circuits ABCD parameters and S parameters.
Unit 2: RF Active Components and RF Amplifier Design
RF Diode: PIN diode - GUNN diode - RF bipolar junction transistor - RF field effect transistor - modeling of diode -
transistor and FET; RF Amplifier: Characteristics – power relational and stability considerations - LNA - power amplifiers
- differential amplifiers - distributed power amplifiers and broadband amplifiers.
Unit 3: RF Circuits Design
RF Oscillator Design: Fixed frequency oscillator - dielectric resonant oscillator – voltage controlled oscillator - sun element
oscillator; RF Mixer Design: Single ended mixer – double ended mixer; RF Filter Resonator and Filter Configuration:
Butterworth and Chebyshev filters - design of microstrip filters.
Unit 4: RF IC Design
Introduction to RFIC: Analog and microwave design versus RFIC design – noise performance estimation - RF technology
- receiver with single IF stage metallization – sheet resistance - skin effect -parasitic capacitance and inductance - current
handling – metal capacitors - spiral inductors - quality factor - layout in IC - mutual inductance - multilevel - measurement
- packaging.
Unit 5: RF System Design
Link Design: Fading design - protected and non protected microwave systems – path calculation - spread spectrum
microwave system - compatibility - safety coordinate systems - Datam’s and GPS - receiver design - receiver architecture
dynamic range – Frequency conversion and filtering; Examples of Practical Receivers: FM broadcast - digital cellular –
multi-meter wave point to point - Direct conversion GSM receiver; RF MEMS: Concept - implementation and
applications.
Text and Reference Books:
1. David Pozar, “Microwave and RF design of Wireless systems,” Johnwiley, 2008.
2. Joseph.J.Carr, “Secrets of RF circuit design,” Tata McGraw Hill, 2004.
3. Reinhold Ludwig and PavelBretchko, “RF circuit design,” Pearson Education, 2007.
4. Josn Rogers and Calvin Plett, “Radio frequency Integrated circuit design,” Artech house, 2002.
5. VivekVaradhan,” RF MEMS and their applications”, Wiley Eastern edition, 2003.
6. FerriLosee, “RF systems, Components and Circuits handbook,” Artech house, 2002.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
35

Semester – III (Course Work)


ESS-306L: Mixed Signal and DSP Systems Lab
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 1 6 4 70 80 150 3 Hours

List of Experiments
Experiments on Mixed Signal Systems
Design of following using in standard technology node
1. Current Mirrors.
2. Single stage amplifier
3. Source follower
4. Differential Amplifier
5. Gilbert Cell
6. Operational Amplifier
7. PLL
8. Bandgap Reference
9. Digital to Analog Converters
10. Analog to Digital Converters

Experiments on DSP
Write a program to implement convolution of x(n) with h(n) using linear convolution and verify
11. the result y(n) as below. x(n) = [1,1,1,1,0.5,0.5,0.5,0.5 ] , h(n) = [0.3,0.25,0.2,0.15,0.1,0.05] and
y(n) = [0.3, 0.55,0.75,0.9,0.85,0.775,0.675,0.6,0.4.0.25,0.15,0.075,0.025]
12.
Write a program for circular convolution of the following inputs x(n) and h(n) and Verify the
output y(n) as given below: x(n) = [1,1,1,2,1,1] , h(n) = [1,1,2,1] and y(n) = [6,5,5,6,6,7]
Implement an 8-point DFT for the inputs x(n) and verify the result as X(K). Where, x(n) =
13. [1,1,1,1,1,1,0,0] and X(K) = [ 6,-0.707-j1.707,1-j,0.707+j0.293,0,0.707-j0.293,1+j,-
0.707+j1.707].
14.
Find IDFT of the sequence X(K) = [ 11110000]. Verify that x (n) = [0.5,0.125+j0.30175,
0,0.125+j0.05175, 0,0.125-j0.05175, 0,0.125-j0.30175]
Generate the following waveforms using TMS320XX DSP kit and verify the outputs for different
15.
frequencies (1KHz, 2KHz etc.) a) Sine wave, b) Square wave
Tone Generation using the DAC of TMS320XX DSP kit. a) Generate a simple tone of a fixed
16. frequency (1 KHz), b) Generate multiple tones at frequencies starting from 300Hz to 3 KHz with
an increment of 100Hz each tone for duration of 1second using timer interrupt.
Design an FIR Low pass Filter with following specification. fp = 1500Hz, fs = 2000Hz, Pass
17.
band attenuation = 0.01dB, Stop band attenuation = 40dB and Fs = 8000 Hz using Kaiser window.
Real-time Implementation of FIR filters: a) Generate the filter coefficients using Kaiser Window
for a low pass FIR filter for the specification as given in experiment 1 of module 2, b) Apply an
18. input signal through ADC and implement the filter on ARM Cortex M3/M4. Vary the input signal
frequency and observe the output on an Oscilloscope, c) Repeat the filter for Band pass and High
pass, d) Repeat the same with hamming window.
Perform FFT analysis for the signal input through ADC and display the input signal as well as the
19. FFT output on PC using Probe point facility. Perform FFT operation for 16, 32 and 64-point FFT.
Compute the power spectrum X(K) * X(K) = |X(K)|² = Xreal² + Ximag² and plot the same in PC.
DTMF Tone Generation and Detection and its implementation: a) Generate DTMF Tones. Detect
20.
the DTMF tone input trough the ADC, b) Implement the program with Geortzel algorithm.
21. Filter design using TMS Processor.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
36

Semester – III (Course Work)


ESS-307P/I: Pre Project/Pre-Internship
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 1 6 4 70 80 150 3 Hours

Pre-Project Description
In the Pre-Project work, students shall choose a specific topic/area for their project. A supervisor will be assigned
to each student, who at the beginning of the 3rd semester shall provide a syllabus and plan of study including
relevant research papers to the student. Each student at the end of the course will submit a survey report regarding
the final project and the same will be evaluated for final award of the course. Each Pre-Project work shall be
evaluated for correctness, length and breadth of the background work undertaken by the student.

Pre-Internship Description
In Pre-Internship, students shall choose a specific domain for their Internship and identify prospective
organizations and apply for Internship programmes. For Pre-Internship work, a counselor will be assigned to each
student, who at the beginning of the 3rd semester shall guide him/her regarding identifying the prospective
organizations and applying therein. At the successful completion of this course, students will: be able to articulate
the mechanics of locating internships and behaving professionally; have produced the tools necessary to secure an
appropriate internship; have created a professional portfolio that highlights their accomplishments. The student
at the end of the course will submit a survey report regarding the procedure adopted and efforts made by the
student in securing an Intern position and the same will be evaluated for final award of the course.

Semester – IV (Internship)
ESS-401I: Internship
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 0 48 24 200 700 900 3 Hours

Description

Internship shall be of six months (Minimum 18 weeks’) duration and a student can accumulate 24 credits on
successful completion of internship.
 Internships shall be considered as six months (not less than 18 weeks) of supervised learning carried out at
industry or some academic institution of excellence. Students are encouraged to apply for internship in 3rd
semester to companies or academic institutions so that its commencement is ensured at the beginning of 4th
semester.
 The head of the Department and counsellor will collect a mid-term feedback to ensure smooth progress
towards the completion of internship. At the time of completion of the internship, a certificate
(satisfactory/unsatisfactory) and marks from concerned person of the organization shall be collected by the
head of the Department. An Internship committee comprising of Head of the Department, External Expert,
counsellor, and two faculty members of the department shall collect the report from the student and evaluate
it. The certificate from the organization where internship was carried will be given due consideration. If the
certificate is unsatisfactory then the Internship committee will review the matter and if they agree with the
given certificate, the student has to carry on the internship again at same or different place.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006
37

Semester – IV (Thesis)
ESS-402T: Project and Thesis
Hours per Week Maximum Marks Examination
Credits
Lecture Tutorial Practical Internal End Term Total Hours
0 0 48 24 200 700 900 3 Hours

Description
Project and Thesis shall be of six months (Minimum 18 weeks’) duration and a student can accumulate 24 credits
on successful completion of Project. This is in addition to pre-project work in 3rd semester wherein students shall
choose a specific topic/area for their project and undertake its study.
 A thesis committee comprising of the head of the Department, external expert, supervisor and at least two
more faculty members will serve thesis and oral examiners for each student pursuing thesis.
 A soft copy of the thesis in .pdf format (in specific style) should be sent to thesis committee, before its final
submission. The Thesis committee shall examine it for suitability of publication (including any possible
plagiarism) before the thesis goes in print and for binding.
 The external marks of 700 shall be evaluated with a breakup 500 for viva-voce and evaluation of
thesis/project and 200 for a publication/s in indexed journal/conference. The publication will be
verified by the thesis committee on the day of viva-voce.
 The publication must be the sole work of the student. Further, for conference publication, the student
should produce presentation certificate to the thesis committee.

Course Structure and Syllabus of M. Tech. Programme in Embedded Systems and Solutions (Revision: 2016)
PG Department of Electronics and Instrumentation Technology
University of Kashmir, Srinagar – 190006

Potrebbero piacerti anche