Sei sulla pagina 1di 9

Universidad Autónoma del Carmen

Facultad de ingeniería
P.E. Ingeniería Mecánica

MATERIA: Automatización de proceso industriales

Tarea 3: Investigación Flip-flop

ALUMNO: Oved Alejandro Torres

CARRERA: Ingeniería Mecánica

MATRÍCULA: 111902

SEMESTRE: 8vo semestre

DOCENTE: Salomón Abdala Castillo

FECHA: 21 de Marzo del 2018


UNIVERSIDAD AUTÓNOMA DEL CARMEN
Facultad de ingeniería
P.E. Ingeniería Mecánica
Asignatura: Automatización de Profesor: Salomón Abdala Castillo
procesos industriales
Nombre: Oved Alejandro Torres Matricula: 111902
21 de Marzo del 2018 Hoja 2

CONTENIDO

INTRODUCCIÓN ................................................................................................................................... 3
FLIP-FLOP ............................................................................................................................................. 4
¿Qué es? .......................................................................................................................................... 4
Tipos de flip-flop.............................................................................................................................. 4
Flip-flop RS temporizado ................................................................................................................. 4
Flip-flop D ........................................................................................................................................ 5
Flip-flop T......................................................................................................................................... 6
Flip-flop JK ....................................................................................................................................... 7
CONCLUSIÓN ....................................................................................................................................... 8
REFERENCIAS ....................................................................................................................................... 9
UNIVERSIDAD AUTÓNOMA DEL CARMEN
Facultad de ingeniería
P.E. Ingeniería Mecánica
Asignatura: Automatización de Profesor: Salomón Abdala Castillo
procesos industriales
Nombre: Oved Alejandro Torres Matricula: 111902
21 de Marzo del 2018 Hoja 3

INTRODUCCIÓN
En el ámbito de la automatización existen distintos dispositivos utilizados para crear
circuitos empleados en el control y automatización de diversos sistemas o procesos
industriales. Uno de estos dispositivos son los flip flops, los cuales son usados de manera
muy constante para el almacenamiento y transferencia de datos digitales y se usan
normalmente en unidades llamadas registros, para el almacenamiento de datos numéricos
binarios.
A continuación se describe el concepto de flip-flop así como los tipos que existen con sus
diferentes diagramas y ecuaciones características.
UNIVERSIDAD AUTÓNOMA DEL CARMEN
Facultad de ingeniería
P.E. Ingeniería Mecánica
Asignatura: Automatización de Profesor: Salomón Abdala Castillo
procesos industriales
Nombre: Oved Alejandro Torres Matricula: 111902
21 de Marzo del 2018 Hoja 4

FLIP-FLOP

¿Qué es?
Los elementos de memoria usados en los circuitos secuenciales temporizados se
llaman flip-flops. Estos circuitos son celdas binarias capaces de almacenar un bit de
información. Un circuito flip-flop tiene dos entradas, una para el valor normal y otro para
el valor complemento del bit almacenado en él. La información binaria puede entrar a un
flip-flop en una variedad de formas de hecho esto es lo que determina diferentes tipos de
flip-flops.
Un circuito flip-flop puede mantener un estado binario indefinidamente (siempre y cuando se este
suministrando potencia al circuito) hasta que se cambie por una señal de entrada para cambiar
estados. La principal diferencia entre varios tipos de flip-flops-es el número de entradas que poseen
y la manera en la cual las entradas afectan el estado binario. Es un elemento básico de una memoria
que cambia por un cambio momentáneo de sus entradas llamado (Trigger). Es
un multivibrador capaz de permanecer en uno de dos estados posibles durante un tiempo
indefinido en ausencia de perturbaciones. Esta característica es ampliamente utilizada en
electrónica digital para memorizar información. El paso de un estado a otro se realiza variando sus
entradas.Dependiendo del tipo de dichas entradas los biestables se dividen en:

 Asíncronos: sólo tienen entradas de control. El más empleado es el biestable RS.

 Síncronos: además de las entradas de control posee una entrada de sincronismo o de reloj.
Si las entradas de control dependen de la de sincronismo se denominan síncronas y en caso
contrario asíncronas. Por lo general, las entradas de control asíncronas prevalecen sobre las
síncronas.
La información contenida en muchos biestables puede representar el estado de un secuenciador, el
valor de un contador, un carácter ASCII en la memoria de un ordenador, o cualquier otra clase de
información. Los tipos de flip-flops más comunes se explican a continuación.

Tipos de flip-flop
Flip-flop RS temporizado
El flip-flop básico por si solo es un circuito secuencial asincrónico. Agregando
compuertas a las entradas del circuito básico, puede hacerse que el flip-flop responda a los
niveles de entrada durante la ocurrencia del pulso del reloj. El flip-flop RS temporizado
mostrado en la figura 1 (a) consiste en un flip flop básico NOR y dos compuertas AND. Las
UNIVERSIDAD AUTÓNOMA DEL CARMEN
Facultad de ingeniería
P.E. Ingeniería Mecánica
Asignatura: Automatización de Profesor: Salomón Abdala Castillo
procesos industriales
Nombre: Oved Alejandro Torres Matricula: 111902
21 de Marzo del 2018 Hoja 5

salidas de dos compuertas AND. Las salidas de dos compuertas AND permanecen en cero
mientras el pulso de reloj sea 0, sin importar los valores de entrada de S y R. Cando el pulso
del reloj vaya a 1, la información de las entradas S y R se permite llegar al flip-flop básico. El
estado de puesta a 1 se logra con S=1, R=0 y CP=1. Para cambiar el estado de puesta a cero
(o borrado) las entradas deben ser S=0, R=1 y CP=1. Con S=1 y R=1, la ocurrencia de los
pulsos causara que ambas salidas vaya momentáneamente a 0. Cuando se quite el pulso, el
estado del flip-flop será indeterminado, es decir, podría resultar cualquier estado,
dependiendo de si la entrada de puesta a uno o la de puesta a cero de flip-flop básico,
permanezca el mayor tiempo, antes de la transición a 0 al final del pulso.

(a) Diagrama lógico

(b) Tabla característica

(c) Símbolo gráfico (d) Ecuación característica

Figura 1: Flip-flop RS temporizado

Flip-flop D
Símbolos normalizados: Biestables D a) activo por nivel alto y b) activo por flanco de subida.
El flip-flop D resulta muy útil cuando se necesita almacenar un único bit de datos (1 o 0). Si
se añade un inversor a un flip-flop S-R obtenemos un flip-flop D básico. El funcionamiento
de un dispositivo activado por el flanco negativo es, por supuesto, idéntico, excepto que el
UNIVERSIDAD AUTÓNOMA DEL CARMEN
Facultad de ingeniería
P.E. Ingeniería Mecánica
Asignatura: Automatización de Profesor: Salomón Abdala Castillo
procesos industriales
Nombre: Oved Alejandro Torres Matricula: 111902
21 de Marzo del 2018 Hoja 6

disparo tiene lugar en el flanco de bajada del impulso del reloj. Recuerde que Q sigue a D
en cada flanco del impulso de reloj.
Para ello, el dispositivo de almacenamiento temporal es de dos estados (alto y bajo), cuya
salida adquiere el valor de la entrada D cuando se activa la entrada de sincronismo, C. En
función del modo de activación de dicha entrada de sincronismo, existen dos tipos:
Activo por nivel (alto o bajo), también denominado registro o cerrojo (latch).
Activo por flanco (de subida o de bajada).

(c) Tabla
a) Diagrama lógico (b) Símbolo gráfico (d) Ecuación
característica
característica
Figura 2: Flip-flop D temporizado

Flip-flop T
Símbolo normalizado: Biestable T activo por flanco de subida.
Dispositivo de almacenamiento temporal de 2 estados (alto y bajo). El biestable T cambia
de estado (toggle) cada vez que la entrada de sincronismo o de reloj se dispara mientras la
entrada T está a nivel alto. Si la entrada T está a nivel bajo, el biestable retiene el nivel

(c) Tabla (d) Ecuación


(b) Símbolo gráfico
característica característica
(a) Diagrama lógico

Figura 3: Flip-flop T temporizado


UNIVERSIDAD AUTÓNOMA DEL CARMEN
Facultad de ingeniería
P.E. Ingeniería Mecánica
Asignatura: Automatización de Profesor: Salomón Abdala Castillo
procesos industriales
Nombre: Oved Alejandro Torres Matricula: 111902
21 de Marzo del 2018 Hoja 7

previo. Puede obtenerse al unir las entradas de control de un biestable JK, unión que se
corresponde a la entrada T.

Flip-flop JK
Es versátil y es uno de los tipos de flip-flop más usados. Su funcionamiento es idéntico al
del flip-flop S-R en las condiciones SET, RESET y de permanencia de estado. La diferencia
está en que el flip-flop J-K no tiene condiciones no validas como ocurre en el S-R.
Este dispositivo de almacenamiento es temporal que se encuentra dos estados (alto y
bajo), cuyas entradas principales, J y K, a las que debe el nombre, permiten al ser
activadas:
J: El grabado (set), puesta a 1 ó nivel alto de la salida.
K: El borrado (reset), puesta a 0 ó nivel bajo de la salida.
Si no se activa ninguna de las entradas, el biestable permanece en el estado que poseía
tras la última operación de borrado o grabado. A diferencia del biestable RS, en el caso de
activarse ambas entradas a la vez, la salida adquirirá el estado contrario al que tenía.

(a) Diagrama lógico (b) Símbolo gráfico


(c) Tabla (d) Ecuación
característica característica
Figura 4: Flip-flop T temporizado
UNIVERSIDAD AUTÓNOMA DEL CARMEN
Facultad de ingeniería
P.E. Ingeniería Mecánica
Asignatura: Automatización de Profesor: Salomón Abdala Castillo
procesos industriales
Nombre: Oved Alejandro Torres Matricula: 111902
21 de Marzo del 2018 Hoja 8

CONCLUSIÓN
Los elementos flip-flop son de gran uso en la automatización, su importancia radica
en que son dispositivos de dos estados que sirven como memoria básica para las
operaciones de lógica secuencial. Sus características principales con las siguientes:

 Asumen solamente uno de dos posibles estados de salida.


 Tienen un par de salidas que son complemento una de la otra.
 Tienen una o más entradas que pueden causar que el estado del Flip-Flop cambie.
Se deben conocer las distintas aplicaciones y combinaciones de estos tipos de dispositivos
para poder implementar los más aptos para cada circuito en el que se quieran involucrar.
UNIVERSIDAD AUTÓNOMA DEL CARMEN
Facultad de ingeniería
P.E. Ingeniería Mecánica
Asignatura: Automatización de Profesor: Salomón Abdala Castillo
procesos industriales
Nombre: Oved Alejandro Torres Matricula: 111902
21 de Marzo del 2018 Hoja 9

REFERENCIAS
 Morris Mano, M., Arquitectura de computadoras, Tercer edición, Pearson editorial,
1993
 https://es.slideshare.net/MiguelBrunings/diferentes-tipos-de-flip-flops-jk-sr-d-t-
sus-tablas-de-verdad
 https://www.ecured.cu/Flip-flops
 https://sites.google.com/site/electronicadigitaluvfime/4-3-elementos-biestables-
flip-flops-r-s-j-k-d-y-t-sincronos-y-asincronos
 https://catedra.ing.unlp.edu.ar/electrotecnia/islyd/apuntes/Tema%204%20Flip-
Flops%202010.pdf

Potrebbero piacerti anche