Sei sulla pagina 1di 378

2012 Question Booklet Code A

EC : ELECTRONICS AND COMMUNICATION ENGINEERING


Duration: Three Hours Maximum Marks: 100
Read the following instructions carefully.

1. Do not open the seal of the Question Booklet until you are asked to do so by the invigilator.
2. Take out the Optical Response Sheet (ORS) from this Question Booklet without breaking the seal
and read the instructions printed on the ORS carefully. If you find that the Question Booklet Code
printed at the right hand top corner of this page does not match with the Booklet Code on the ORS,
exchange the booklet immediately with a new sealed Question Booklet.
3. On the right half of the ORS, using ONLY a black ink ball point pen, (i) darken the bubble
corresponding to your test paper code and the appropriate bubble under each digit of your registration
number and (ii) write your registration number, your name and name of the examination centre and
put your signature at the specified location.
4. This Question Booklet contains 20 pages including blank pages for rough work. After you are
permitted to open the seal, please check all pages and report discrepancies, if any, to the invigilator.
5. There are a total of 65 questions carrying 100 marks. All these questions are of objective type. Each
question has only one correct answer. Questions must be answered on the left hand side of the ORS
by darkening the appropriate bubble (marked A, B, C, D) using ONLY a black ink ball point pen
against the question number. For each question darken the bubble of the correct answer. More
than one answer bubbled against a question will be treated as an incorrect response.
6. Since bubbles darkened by the black ink ball point pen cannot be erased, candidates should darken
the bubbles in the ORS very carefully.
7. Questions Q.1 – Q.25 carry 1 mark each. Questions Q.26 – Q.55 carry 2 marks each. The 2 marks
questions include two pairs of common data questions and two pairs of linked answer questions. The
answer to the second question of the linked answer questions depends on the answer to the first
question of the pair. If the first question in the linked pair is wrongly answered or is unattempted, then
the answer to the second question in the pair will not be evaluated.
8. Questions Q.56 – Q.65 belong to General Aptitude (GA) section and carry a total of 15 marks.
Questions Q.56 – Q.60 carry 1 mark each, and questions Q.61 – Q.65 carry 2 marks each.
9. Unattempted questions will result in zero mark and wrong answers will result in NEGATIVE marks.
For all 1 mark questions, ⅓ mark will be deducted for each wrong answer. For all 2 marks questions,
⅔ mark will be deducted for each wrong answer. However, in the case of the linked answer question
pair, there will be negative marks only for wrong answer to the first question and no negative marks
for wrong answer to the second question.
10. Calculator is allowed whereas charts, graph sheets or tables are NOT allowed in the examination hall.
11. Rough work can be done on the question paper itself. Blank pages are provided at the end of the
question paper for rough work.
12. Before the start of the examination, write your name and registration number in the space provided
below using a black ink ball point pen.

Name

Registration Number EC
EC-A 1/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Q. 1 – Q. 25 carry one mark each.


Q.1 The current ib through the base of a silicon npn transistor is 1  0.1 cos(10000 t ) mA . At 300 K,
the r in the small signal model of the transistor is
ib B C

r r0

(A) 250  (B) 27.5  (C) 25  (D) 22.5 


Q.2 The power spectral density of a real process X(t) for positive frequencies is shown below. The
values of E[X2(t)] and E[ X (t )] , respectively, are
SX(ω)

400 d(w-104)
6

0 9 10 11 ω (103 rad/s)

(A) 6000/π, 0 (B) 6400/π, 0


(C) 6400/π, 20 /( 2 ) (D) 6000/π, 20 /( 2 )
Q.3 In a baseband communications link, frequencies upto 3500 Hz are used for signaling. Using a
raised cosine pulse with 75% excess bandwidth and for no inter-symbol interference, the maximum
possible signaling rate in symbols per second is
(A) 1750 (B) 2625 (C) 4000 (D) 5250

Q.4 A plane wave propagating in air with E  (8 aˆ x  6 aˆ y  5 aˆ z ) e j (w t 3 x 4 y ) V/m is incident on a

perfectly conducting slab positioned at x  0 . The E field of the reflected wave is

(A) ( 8 aˆ x  6 aˆ y  5 aˆ z ) e j (w t 3 x 4 y ) V/m
(B) ( 8 aˆ x  6 aˆ y  5 aˆ z ) e j (w t 3 x 4 y ) V/m
(C) ( 8 aˆ x  6 aˆ y  5 aˆ z ) e j (w t 3 x 4 y ) V/m
(D) ( 8 aˆ x  6 aˆ y  5 aˆ z ) e j (w t 3 x 4 y ) V/m
Q.5 The electric field of a uniform plane electromagnetic wave in free space, along the positive

x direction, is given by E  10 aˆ y  j aˆ z  e  j 25x . The frequency and polarization of the wave,
respectively, are
(A) 1.2 GHz and left circular (B) 4 Hz and left circular
(C) 1.2 GHz and right circular (D) 4 Hz and right circular
EC-A 2/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Q.6 Consider the given circuit.


A

CLK

B
In this circuit, the race around
(A) does not occur (B) occurs when CLK = 0
(C) occurs when CLK = 1 and A = B = 1 (D) occurs when CLK = 1 and A = B = 0
Q.7 The output Y of a 2-bit comparator is logic 1 whenever the 2-bit input A is greater than the 2-bit
input B. The number of combinations for which the output is logic 1, is
(A) 4 (B) 6 (C) 8 (D) 10
Q.8 The i-v characteristics of the diode in the circuit given below are
 v  0.7
 A, v  0.7 V
i   500

 0 A, v  0.7 V
1k
i
+ +
v
- 10V -

The current in the circuit is


(A) 10 mA (B) 9.3 mA (C) 6.67 mA (D) 6.2 mA
Q.9 In the following figure, C1 and C2 are ideal capacitors. C1 has been charged to 12 V before the ideal
switch S is closed at t = 0. The current i(t) for all t is
S t =0

C1 C2
i(t)

(A) zero (B) a step function


(C) an exponentially decaying function (D) an impulse function
Q.10 The average power delivered to an impedance (4  j3) by a current 5cos(100 t  100) A is

(A) 44.2 W (B) 50 W (C) 62.5 W (D) 125 W


1
Q.11 The unilateral Laplace transform of f (t) is 2 . The unilateral Laplace transform of t f (t ) is
s  s 1
s 2s  1
(A)  (B) 
( s  s  1) 2
2
( s  s  1) 2
2

s 2s  1
(C) (D)
( s  s  1) 2
2
( s  s  1) 2
2

EC-A 3/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Q.12 With initial condition x(1)  0.5 , the solution of the differential equation,
dx
t  x  t is
dt

(A) x  t 
1
(B) x  t 2 
1 t2 (D) x 
t
(C) x 
2 2 2 2
Q.13 The diodes and capacitors in the circuit shown are ideal. The voltage v(t) across the diode D1 is

C1 v(t)
D2

+
cos(wt) D1 C2
-

(A) cos(w t )  1 (B) sin (w t ) (C) 1  cos(w t ) (D) 1  sin (w t )


Q.14 In the circuit shown
5 Volts

A
C

B
Y

A B

(A) Y  A B  C (B) Y  ( A  B) C
(C) Y  ( A  B ) C (D) Y  A B  C
Q.15 A source alphabet consists of N symbols with the probability of the first two symbols being the
same. A source encoder increases the probability of the first symbol by a small amount  and
decreases that of the second by  . After encoding, the entropy of the source
(A) increases (B) remains the same
(C) increases only if N = 2 (D) decreases
Q.16 A coaxial cable with an inner diameter of 1 mm and outer diameter of 2.4 mm is filled with a
109
dielectric of relative permittivity 10.89. Given 0  4 107 H m ,  0  F m , the
36
characteristic impedance of the cable is
(A) 330  (B) 100  (C) 143.3  (D) 43.4 
Q.17 The radiation pattern of an antenna in spherical co-ordinates is given by
F ( )  cos4  ; 0     / 2
The directivity of the antenna is
(A) 10 dB (B) 12.6 dB (C) 11.5 dB (D) 18 dB
EC-A 4/20
2012 ELECTRONICS & COMMN. ENGG. - EC

If x [n]  (1 / 3)  (1 / 2) n u[n] , then the region of convergence (ROC) of its Z-transform in the
n
Q.18
Z-plane will be
(A) 1
3
 z 3 (B) 1
3
 z  1
2
(C) 1
2
 z 3 (D) 1
3
 z
Q.19 In the sum of products function f ( X , Y , Z )  (2, 3, 4, 5) , the prime implicants are
(A) X Y , X Y (B) X Y , X Y Z , X Y Z
(C) X Y Z , X Y Z , X Y (D) X Y Z , X Y Z , X Y Z , X Y Z
Q.20 A system with transfer function
( s 2  9 ) ( s  2)
G( s) 
( s  1) ( s  3) ( s  4)
is excited by sin (w t ) . The steady-state output of the system is zero at
(A) w  1 rad/s (B) w  2 rad/s
(C) w  3 rad/s (D) w  4 rad/s
Q.21 The impedance looking into nodes 1 and 2 in the given circuit is
ib

1k 99ib

9k
1
100
2

(A) 50  (B) 100  (C) 5 k (D) 10.1 k


Q.22 In the circuit shown below, the current through the inductor is

j1 
1
1 0A

1 0V 1 0V
- + + -
1 0A

- j1  1

2 1 1
(A) A (B) A (C) A (D) 0 A
1 j 1 j 1 j
Q.23 Given
1 2
f ( z)   . If C is a counterclockwise path in the z-plane such that z  1  1 , the value of
z 1 z  3
1
2 j C f ( z ) dz is

(A) –2 (B) –1 (C) 1 (D) 2


EC-A 5/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Q.24 Two independent random variables X and Y are uniformly distributed in the interval [–1, 1]. The
probability that max[X, Y] is less than 1 2 is

(A) 3 4 (B) 9 16 (C) 1 4 (D) 2 3

Q.25 If x   1 , then the value of x x is

(A) e  / 2 (B) e / 2 (C) x (D) 1

Q. 26 to Q. 55 carry two marks each.


Q.26 The source of a silicon (ni = 1010 per cm3) n-channel MOS transistor has an area of 1 sq µm and a
depth of 1 µm. If the dopant density in the source is 1019/cm3, the number of holes in the source
region with the above volume is approximately
(A) 107 (B) 100 (C) 10 (D) 0

Q.27 A BPSK scheme operating over an AWGN channel with noise power spectral density of N 0 2 ,
2E 2E
uses equiprobable signals s1 (t )  sin (wc t ) and s2 (t )   sin (wc t ) over the symbol
T T
interval (0, T). If the local oscillator in a coherent receiver is ahead in phase by 45 with respect to
the received signal, the probability of error in the resulting system is

 2E   E   E   E 
(A) Q  
 (B) Q  
 (C) Q  
 (D) Q  

 N 0   N 0   2N 0   4N 0 

Q.28 A transmission line with a characteristic impedance of 100 is used to match a 50 section to a
200 section. If the matching is to be done both at 429 MHz and 1 GHz, the length of the
transmission line can be approximately
(A) 82.5 cm (B) 1.05 m (C) 1.58 m (D) 1.75 m
t
Q.29 The input x(t) and output y(t) of a system are related as y (t )   x( ) cos(3 ) d .

The system is

(A) time-invariant and stable (B) stable and not time-invariant


(C) time-invariant and not stable (D) not time-invariant and not stable

Q.30 The feedback system shown below oscillates at 2 rad/s when

R(s) + K ( s  1) Y(s)
s  as 2  2 s  1
3

(A) K = 2 and a = 0.75 (B) K = 3 and a = 0.75


(C) K = 4 and a = 0.5 (D) K = 2 and a = 0.5

Q.31 The Fourier transform of a signal h(t) is H ( j w)  (2 cosw) (sin 2w) / w . The value of h(0) is

(A) 1/4 (B) 1/2 (C) 1 (D) 2


EC-A 6/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Q.32 The state variable description of an LTI system is given by


 x1   0 a1 0   x1   0 
      
 x 2    0 0 a 2   x2    0  u
 x   a    
 3   3 0 0   x3   1 
 x1 
 
y  1 0 0  x2 
x 
 3
where y is the output and u is the input. The system is controllable for
(A) a1  0 , a 2  0 , a3  0 (B) a1  0 , a 2  0 , a3  0
(C) a1  0 , a 2  0 , a3  0 (D) a1  0 , a 2  0 , a3  0

Q.33 Assuming both the voltage sources are in phase, the value of R for which maximum power is
transferred from circuit A to circuit B is
2 R

+ - j1  +
10 V 3V
- -

Circuit A Circuit B

(A) 0.8  (B) 1.4  (C) 2  (D) 2.8 

Q.34 Consider the differential equation

d 2 y (t ) dy(t ) dy
2
2  y (t )  d (t ) with y (t ) t 0 
 2 and  0.
dt dt dt t 0

dy
The numerical value of is
dt t 0

(A) –2 (B) –1 (C) 0 (D) 1

Q.35 The direction of vector A is radially outward from the origin, with A  k rn
where r 2  x 2  y 2  z 2 and k is a constant. The value of n for which   A  0 is

(A) –2 (B) 2 (C) 1 (D) 0

Q.36 A fair coin is tossed till a head appears for the first time. The probability that the number of
required tosses is odd, is
(A) 1/3 (B) 1/2 (C) 2/3 (D) 3/4

EC-A 7/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Q.37 In the CMOS circuit shown, electron and hole mobilities are equal, and M1 and M2 are equally
sized. The device M1 is in the linear region if
5V

M1
|VTp|=1V
Vin

VTn=1V
M2

(A) Vin < 1.875 V


(B) 1.875 V < Vin < 3.125 V
(C) Vin > 3.125 V
(D) 0 < Vin < 5 V
Q.38 A binary symmetric channel (BSC) has a transition probability of 1/8. If the binary transmit symbol
X is such that P(X=0) = 9/10, then the probability of error for an optimum receiver will be
(A) 7/80 (B) 63/80 (C) 9/10 (D) 1/10

Q.39 The signal m(t) as shown is applied both to a phase modulator (with k p as the phase constant) and
a frequency modulator (with k f as the frequency constant) having the same carrier frequency.
m(t)
2

-2 0 2 4 6 8 t (seconds)

-2

The ratio k p k f (in rad/Hz) for the same maximum phase deviation is

(A) 8π (B) 4π (C) 2π (D) π


Q.40 The magnetic field along the propagation direction inside a rectangular waveguide with the cross-
section shown in the figure is
H z  3 cos(2.094  102 x) cos(2.618  102 y ) cos(6.283  1010 t   z )

1.2 cm

3 cm X
The phase velocity v p of the wave inside the waveguide satisfies

(A) v p  c (B) v p  c (C) 0  v p  c (D) v p  0


EC-A 8/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Q.41 The circuit shown is a

R2

C R1 +5V
+ - +
Input Output
- + -
-5V

1
(A) low pass filter with f3dB = rad/s
( R1  R2 ) C
1
(B) high pass filter with f3dB = rad/s
R1C
1
(C) low pass filter with f3dB = rad/s
R1C
1
(D) high pass filter with f3dB = rad/s
( R1  R2 ) C

Q.42 Let y[n] denote the convolution of h[n] and g[n], where h [n]  (1 / 2) n u[n] and g[n] is a causal
sequence. If y[0] = 1 and y[1] = 1/2, then g[1] equals
(A) 0 (B) 1/2 (C) 1 (D) 3/2

Q.43 The state transition diagram for the logic circuit shown is

2-1 MUX
D Q
X1
Y
CLK X0
Q Select

A
A=1 A=0 A=0 A=0

A=1 A=1
(A) (B)
Q=0 Q=1 Q=0 Q=1
A=0 A=1

A=0 A=1 A=1 A=1

A=0 A=0
(C) (D)
Q=0 Q=1 Q=0 Q=1
A=1 A=0

EC-A 9/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Q.44 The voltage gain Av of the circuit shown below is


13.7 Volts

12k

C vo
100k
C
=100
vi 10k

(A) Av  200 (B) Av  100 (C) Av  20 (D) Av  10

Q.45 If VA  VB  6 V, then VC  VD is
R VA 2 VB R

R
R R
-
10 V
R 1 R

+
R
+ - VC VD
5V 2A

(A) –5 V (B) 2 V (C) 3 V (D) 6 V

Q.46 The maximum value of f ( x)  x 3  9 x 2  24 x  5 in the interval [1, 6] is

(A) 21 (B) 25 (C) 41 (D) 46

Q.47 Given that


 5  3 1 0
A  and I    , the value of A 3 is
2 0 0 1 
(A) 15 A + 12 I (B) 19 A + 30 I
(C) 17 A + 15 I (D) 17 A + 21 I

EC-A 10/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Common Data Questions


Common Data for Questions 48 and 49:

With 10 V dc connected at port A in the linear nonreciprocal two-port network shown below, the following
were observed:

(i) 1  connected at port B draws a current of 3 A


(ii) 2.5  connected at port B draws a current of 2 A

+
A B

-
Q.48 With 10 V dc connected at port A, the current drawn by 7  connected at port B is
(A) 3/7 A (B) 5/7 A (C) 1 A (D) 9/7 A

Q.49 For the same network, with 6 V dc connected at port A, 1  connected at port B draws 7/3 A.
If 8 V dc is connected to port A, the open circuit voltage at port B is
(A) 6 V (B) 7 V (C) 8 V (D) 9 V

Common Data for Questions 50 and 51:


In the three dimensional view of a silicon n-channel MOS transistor shown below, d= 20 nm. The
transistor is of width 1 m. The depletion width formed at every p-n junction is 10 nm. The relative
permittivities of Si and SiO2, respectively, are 11.7 and 3.9, and 0 = 8.9  10-12 F/m.

1m

D 1nm 1m

0.2m 0.2m
D d d S

0.2m p substrate 0.2m

Q.50 The gate-source overlap capacitance is approximately


(A) 0.7 fF (B) 0.7 pF (C) 0.35 fF (D) 0.24 pF

Q.51 The source-body junction capacitance is approximately


(A) 2 fF (B) 7 fF (C) 2 pF (D) 7 pF

EC-A 11/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Linked Answer Questions

Statement for Linked Answer Questions 52 and 53:



An infinitely long uniform solid wire of radius a carries a uniform dc current of density j .

Q.52 The magnetic field at a distance r from the center of the wire is proportional to

(A) r for r < a and 1 r 2 for r > a (B) 0 for r < a and 1 r for r > a
(C) r for r < a and 1 r for r > a (D) 0 for r < a and 1 r 2 for r > a

Q.53 A hole of radius b (b < a) is now drilled along the length of the wire at a distance d from the center
of the wire as shown below.

b
d

The magnetic field inside the hole is


(A) uniform and depends only on d (B) uniform and depends only on b
(C) uniform and depends on both b and d (D) non uniform

Statement for Linked Answer Questions 54 and 55:

The transfer function of a compensator is given as


sa
Gc ( s )  .
sb

Q.54 Gc (s) is a lead compensator if


(A) a =1, b = 2 (B) a = 3, b = 2
(C) a = –3, b = –1 (D) a = 3, b = 1

Q.55 The phase of the above lead compensator is maximum at

(A) 2 rad/s (B) 3 rad/s (C) 6 rad/s (D) 1 / 3 rad/s

EC-A 12/20
2012 ELECTRONICS & COMMN. ENGG. - EC

General Aptitude (GA) Questions (Compulsory)

Q. 56 – Q. 60 carry one mark each.

Q.56 If (1.001)1259 = 3.52 and (1.001)2062 = 7.85, then (1.001)3321 =


(A) 2.23 (B) 4.33 (C) 11.37 (D) 27.64

Q.57 Choose the most appropriate alternative from the options given below to complete the following
sentence:
If the tired soldier wanted to lie down, he ___ the mattress out on the balcony.
(A) should take
(B) shall take
(C) should have taken
(D) will have taken

Q.58 Choose the most appropriate word from the options given below to complete the following
sentence:

Given the seriousness of the situation that he had to face, his ___ was impressive.
(A) beggary (B) nomenclature (C) jealousy (D) nonchalance

Q.59 Which one of the following options is the closest in meaning to the word given below?

Latitude
(A) Eligibility (B) Freedom (C) Coercion (D) Meticulousness

Q.60 One of the parts (A, B, C, D) in the sentence given below contains an ERROR. Which one of the
following is INCORRECT?

I requested that he should be given the driving test today instead of tomorrow.
(A) requested that
(B) should be given
(C) the driving test
(D) instead of tomorrow

Q. 61 - Q. 65 carry two marks each.


Q.61 One of the legacies of the Roman legions was discipline. In the legions, military law prevailed
and discipline was brutal. Discipline on the battlefield kept units obedient, intact and fighting,
even when the odds and conditions were against them.

Which one of the following statements best sums up the meaning of the above passage?
(A) Thorough regimentation was the main reason for the efficiency of the Roman legions even in
adverse circumstances.
(B) The legions were treated inhumanly as if the men were animals.
(C) Discipline was the armies’ inheritance from their seniors.
(D) The harsh discipline to which the legions were subjected to led to the odds and conditions being
against them.
EC-A 13/20
2012 ELECTRONICS & COMMN. ENGG. - EC

Q.62 Raju has 14 currency notes in his pocket consisting of only Rs. 20 notes and Rs. 10 notes. The total
money value of the notes is Rs. 230. The number of Rs. 10 notes that Raju has is
(A) 5 (B) 6 (C) 9 (D) 10

Q.63 There are eight bags of rice looking alike, seven of which have equal weight and one is slightly
heavier. The weighing balance is of unlimited capacity. Using this balance, the minimum number
of weighings required to identify the heavier bag is
(A) 2 (B) 3 (C) 4 (D) 8

Q.64 The data given in the following table summarizes the monthly budget of an average household.

Category Amount (Rs.)


Food 4000
Clothing 1200
Rent 2000
Savings 1500
Other expenses 1800

The approximate percentage of the monthly budget NOT spent on savings is


(A) 10% (B) 14% (C) 81% (D) 86%

Q.65 A and B are friends. They decide to meet between 1 PM and 2 PM on a given day. There is a
condition that whoever arrives first will not wait for the other for more than 15 minutes. The
probability that they will meet on that day is
(A) 1/4 (B) 1/16 (C) 7/16 (D) 9/16

END OF THE QUESTION PAPER

EC-A 14/20
GATE 2012 ‐ Answer Key ‐ Paper : EC

Paper Question no. Code : A Code : B Code : C Code : D


EC  1 C                         A                         D                         B                        
EC  2 B                         A                         D                         A                        
EC  3 C                         D                         B                         C                        
EC  4 Marks to All              Marks to All              D                         C                        
EC  5 A                         B                         D                         A                        
EC  6 A                         C                         B                         C                        
EC  7 B                         A                         A                         A                        
EC  8 D                         C                         A                         C                        
EC  9 D                         A                         Marks to All              B                        
EC  10 B                         C                         C                         Marks to All             
EC  11 D                         C                         B                         D                        
EC  12 D                         B                         C                         A                        
EC  13 A                         C                         A                         A                        
EC  14 A                         A                         B                         D                        
EC  15 D                         B                         C                         D                        
EC  16 Marks to All              C                         C                         B                        
EC  17 B                         Marks to All              A                         D                        
EC  18 C                         A                         C                         D                        
EC  19 A                         A                         A                         B                        
EC  20 C                         B                         C                         A                        
EC  21 A                         D                         B                         A                        
EC  22 C                         D                         Marks to All              Marks to All             
EC  23 C                         B                         D                         C                        
EC  24 B                         D                         A                         B                        
EC  25 A                         D                         A                         C                        
EC  26 D                         A                         A                         B                        
EC  27 B                         D                         C                         C                        
EC  28 C                         B                         D                         A                        
EC  29 D                         Marks to All              A                         D                        
EC  30 A                         B                         D                         D                        
EC  31 C                         A                         C                         A                        
EC  32 D                         D                         A                         B                        
EC  33 A                         D                         D                         Marks to All             
EC  34 D                         A                         C                         B                        
EC  35 A                         C                         B                         D                        
EC  36 C                         B                         D                         A                        
EC  37 A                         D                         B                         C                        
EC  38 D                         B                         C                         A                        
EC  39 B                         C                         A                         D                        
EC  40 Marks to All              D                         D                         A                        
GATE 2012 ‐ Answer Key ‐ Paper : EC

Paper Question no. Code : A Code : B Code : C Code : D


EC  41 B                         A                         D                         D                        
EC  42 A                         C                         A                         C                        
EC  43 D                         D                         B                         A                        
EC  44 D                         A                         Marks to All              D                        
EC  45 A                         D                         B                         C                        
EC  46 C                         A                         A                         B                        
EC  47 B                         C                         D                         D                        
EC  48 C                         C                         A                         B                        
EC  49 C                         C                         B                         A                        
EC  50 A                         B                         C                         C                        
EC  51 B                         A                         C                         C                        
EC  52 C                         A                         C                         A                        
EC  53 A                         A                         A                         A                        
EC  54 A                         C                         A                         C                        
EC  55 A                         A                         A                         A                        
EC  56 D                         A                         D                         B                        
EC  57 A                         D                         B                         B                        
EC  58 D                         B                         B                         D                        
EC  59 B                         B                         D                         A                        
EC  60 B                         D                         A                         D                        
EC  61 A                         A                         A                         D                        
EC  62 A                         A                         D                         C                        
EC  63 A                         D                         C                         A                        
EC  64 D                         C                         A                         A                        
EC  65 C                         A                         A                         A                        
SESSION - 1
2013 Question Booklet Code
A
EC : ELECTRONICS AND COMMUNICATION ENGINEERING
Duration: Three Hours Maximum Marks: 100

Read the following instructions carefully.

1. Do not open the seal of the Question Booklet until you are asked to do so by the invigilator.
2. Take out the Optical Response Sheet (ORS) from this Question Booklet without breaking the seal
and read the instructions printed on the ORS carefully. If you find that either:
a. The Question Booklet Code printed at the right hand top corner of this page does not match with
the Question Booklet Code at the right hand top corner of the ORS or
b. The Question Paper Code preceding the Registration number on the ORS is not EC,
then exchange the booklet immediately with a new sealed Question Booklet.
3. On the right hand side of the ORS, using ONLY a black ink ballpoint pen, (i) darken the appropriate
bubble under each digit of your registration number and (ii) write your registration number, your
name and name of the examination centre and put your signature at the specified location.
4. This Question Booklet contains 24 pages including blank pages for rough work. After you are
permitted to open the seal, check all pages and report discrepancies, if any, to the invigilator.
5. There are a total of 65 questions carrying 100 marks. All these questions are of objective type. Each
question has only one correct answer. Questions must be answered on the left hand side of the ORS
by darkening the appropriate bubble (marked A, B, C, D) using ONLY a black ink ballpoint pen
against the question number. For each question darken the bubble of the correct answer. More
than one answer bubbled against a question will be treated as an incorrect response.
6. Since bubbles darkened by the black ink ballpoint pen cannot be erased, candidates should darken the
bubbles in the ORS very carefully.
7. Questions Q.1 – Q.25 carry 1 mark each. Questions Q.26 – Q.55 carry 2 marks each. The 2 marks
questions include two pairs of common data questions and two pairs of linked answer questions. The
answer to the second question of the linked answer questions depends on the answer to the first
question of the pair. If the first question in the linked pair is wrongly answered or is not attempted,
then the answer to the second question in the pair will not be evaluated.
8. Questions Q.56 – Q.65 belong to General Aptitude (GA) section and carry a total of 15 marks.
Questions Q.56 – Q.60 carry 1 mark each, and questions Q.61 – Q.65 carry 2 marks each.
9. Questions not attempted will result in zero mark and wrong answers will result in NEGATIVE
marks. For all 1 mark questions, ⅓ mark will be deducted for each wrong answer. For all 2 marks
questions, ⅔ mark will be deducted for each wrong answer. However, in the case of the linked
answer question pair, there will be negative marks only for wrong answer to the first question and no
negative marks for wrong answer to the second question.
10. Calculator is allowed whereas charts, graph sheets or tables are NOT allowed in the examination hall.
11. Rough work can be done on the Question Booklet itself. Blank pages are provided at the end of the
Question Booklet for rough work.
12. Before the start of the examination, write your name and registration number in the space provided
below using a black ink ballpoint pen.

Name

Registration Number EC

EC-A 1/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.1 to Q.25 carry one mark each.

Q.1 A bulb in a staircase has two switches, one switch being at the ground floor and the other one at the
first floor. The bulb can be turned ON and also can be turned OFF by any one of the switches
irrespective of the state of the other switch. The logic of switching of the bulb resembles
(A) an AND gate (B) an OR gate (C) an XOR gate (D) a NAND gate

r r r r
Q.2 Consider a vector field A( r ) . The closed loop line integral ∫ A • dl can be expressed as
r r
(A) ∫∫ (∇× A ) • ds over the closed surface bounded by the loop
r
(B) ∫∫∫ r )dv over the closed volume bounded by the loop
(∇ • A
(C) ∫∫∫ (∇ •rA)dv over the open volume bounded by the loop
r
(D) ∫∫ (∇ × A) • ds over the open surface bounded by the loop
Q.3 Two systems with impulse responses h1 (t ) and h2 (t ) are connected in cascade. Then the overall
impulse response of the cascaded system is given by
(A) product of h1 (t ) and h2 (t )
(B) sum of h1 (t ) and h2 (t )
(C) convolution of h1 (t ) and h2 (t )
(D) subtraction of h2 (t ) from h1 (t )

Q.4 In a forward biased pn junction diode, the sequence of events that best describes the mechanism of
current flow is
(A) injection, and subsequent diffusion and recombination of minority carriers
(B) injection, and subsequent drift and generation of minority carriers
(C) extraction, and subsequent diffusion and generation of minority carriers
(D) extraction, and subsequent drift and recombination of minority carriers

Q.5 In IC technology, dry oxidation (using dry oxygen) as compared to wet oxidation (using steam or
water vapor) produces
(A) superior quality oxide with a higher growth rate
(B) inferior quality oxide with a higher growth rate
(C) inferior quality oxide with a lower growth rate
(D) superior quality oxide with a lower growth rate

Q.6 The maximum value of θ until which the approximation sin θ ≈ θ holds to within 10% error is
(A) 10° (B) 18° (C) 50° (D) 90°

EC-A 2/24
2013 ELECTRONICS & COMMN. ENGG. - EC

r
Q.7 The divergence of the vector field A = xaˆ x + yaˆ y + zaˆ z is

(A) 0 (B) 1/3 (C) 1 (D) 3

Q.8 The impulse response of a system is h(t ) = t u (t ) . For an input u (t − 1) , the output is

t2 t (t −1) (t −1) 2 t 2 −1
(A) u (t ) (B) u (t −1) (C) u (t −1) (D) u (t −1)
2 2 2 2

Q.9 The Bode plot of a transfer function G (s ) is shown in the figure below.
Gain (dB)

The gain (20 log G ( s) ) is 32 dB and −8 dB at 1 rad/s and 10 rad/s respectively. The phase is
negative for all ω. Then G (s) is

39.8 39.8 32 32
(A) (B) (C) (D)
s s 2 s s2
Q.10 In the circuit shown below what is the output voltage (Vout) if a silicon transistor Q and an ideal
op-amp are used?

+15 V Q
1 kΩ

+ Vout
5V
– 15 V

(A) 15 V (B) 0.7 V (C) 0.7 V (D) 15 V

EC-A 3/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.11 Consider a delta connection of resistors and its equivalent star connection as shown below. If all
elements of the delta connection are scaled by a factor k, k> 0, the elements of the corresponding
star equivalent will be scaled by a factor of

Ra RC RB
Rb Rc
RA

(A) k2 (B) k (C) 1/k (D) k

Q.12 For 8085 microprocessor, the following program is executed.

MVI A, 05H;
MVI B, 05H;
PTR: ADD B;
DCR B;
JNZ PTR;
ADI 03H;
HLT;

At the end of program, accumulator contains


(A) 17H (B) 20H (C) 23H (D) 05H

Q.13 The bit rate of a digital communication system is R kbits/s. The modulation used is 32-QAM. The
minimum bandwidth required for ISI free transmission is
(A) R/10 Hz (B) R/10 kHz (C) R/5 Hz (D) R/5 kHz

Q.14 For a periodic signal v (t ) = 30 sin 100 t + 10 cos 300 t + 6 sin (500 t + π / 4) , the fundamental frequency in
rad/s is
(A) 100 (B) 300 (C) 500 (D) 1500

EC-A 4/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.15 In a voltage-voltage feedback as shown below, which one of the following statements is TRUE if
the gain k is increased?

(A) The input impedance increases and output impedance decreases.


(B) The input impedance increases and output impedance also increases.
(C) The input impedance decreases and output impedance also decreases.
(D) The input impedance decreases and output impedance increases.

Q.16 A band-limited signal with a maximum frequency of 5 kHz is to be sampled. According to the
sampling theorem, the sampling frequency which is not valid is
(A) 5 kHz (B) 12 kHz (C) 15 kHz (D) 20 kHz

Q.17 In a MOSFET operating in the saturation region, the channel length modulation effect causes
(A) an increase in the gate-source capacitance
(B) a decrease in the transconductance
(C) a decrease in the unity-gain cutoff frequency
(D) a decrease in the output resistance

Q.18 Which one of the following statements is NOT TRUE for a continuous time causal and stable
LTI system?
(A) All the poles of the system must lie on the left side of the jω axis.
(B) Zeros of the system can lie anywhere in the s-plane.
(C) All the poles must lie within s = 1 .
(D) All the roots of the characteristic equation must be located on the left side of the jω axis.

Q.19 The minimum eigenvalue of the following matrix is


 3 5 2
5 12 7 
 
 2 7 5 

(A) 0 (B) 1 (C) 2 (D) 3

EC-A 5/24
2013 ELECTRONICS & COMMN. ENGG. - EC

A polynomial f ( x) = a4 x + a3 x + a2 x + a1 x − a0 with all coefficients positive has


4 3 2
Q.20

(A) no real roots (B) no negative real root


(C) odd number of real roots (D) at least one positive and one negative real root

Q.21 Assuming zero initial condition, the response y (t ) of the system given below to a unit step input
u (t ) is

2
(A) u (t ) (B) t u (t ) (C) t u (t )
2
(D) e − t u (t )

V2 ( s )
Q.22 The transfer function of the circuit shown below is
V1 ( s )

0.5 s + 1 3s + 6
(A) (B)
s +1 s+2
s+2 s +1
(C) (D)
s +1 s+2

Q.23 A source vs (t ) = V cos100 π t has an internal impedance of (4 + j3) Ω. If a purely resistive load
connected to this source has to extract the maximum power out of the source, its value in Ω should be
(A) 3 (B) 4 (C) 5 (D) 7

Q.24 The return loss of a device is found to be 20 dB. The voltage standing wave ratio (VSWR) and
magnitude of reflection coefficient are respectively
(A) 1.22 and 0.1 (B) 0.81 and 0.1 (C) − 1.22 and 0.1 (D) 2.44 and 0.2

− π t2
Q.25 Let g (t ) = e , and h (t ) is a filter matched to g (t ) . If g (t ) is applied as input to h (t ) , then
the Fourier transform of the output is

(C) e −π | f |
2 2

(A) e −π f (B) e−π f (D) e−2π f


2 /2

EC-A 6/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.26 to Q.55 carry two marks each.


1 1
Q.26 Let U and V be two independent zero mean Gaussian random variables of variances and
4 9
respectively. The probability P (3V ≥ 2U ) is

(A) 4/9 (B) 1/2 (C) 2/3 (D) 5/9

Q.27 Let A be an m × n matrix and B an n × m matrix. It is given that determinant ( I m + AB ) =


determinant ( I n +BA) , where I k is the k × k identity matrix. Using the above property, the
determinant of the matrix given below is
2 1 1 1
1 2 1 1 

1 1 2 1
 
1 1 1 2
(A) 2 (B) 5 (C) 8 (D) 16

Q.28 In the circuit shown below, if the source voltage VS = 100∠53.13° V then the Thevenin’s equivalent
voltage in Volts as seen by the load resistance RL is

3Ω j4 Ω j6 Ω 5Ω

VL1

VS j40I2 10VL1 RL=10 Ω

I1 I2

(A) 100∠90° (B) 800∠0° (C) 800∠90° (D) 100∠60°

ω (s)10
Q.29 The open-loop transfer function of a dc motor is given as = . When connected in
V a ( s ) 1 + 10 s
feedback as shown below, the approximate value of K a that will reduce the time constant of the
closed loop system by one hundred times as compared to that of the open-loop system is

(A) 1 (B) 5 (C) 10 (D) 100

EC-A 7/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.30 In the circuit shown below, the knee current of the ideal Zener diode is 10 mA. To maintain 5 V
across RL, the minimum value of RL in Ω and the minimum power rating of the Zener diode in mW,
respectively, are

(A) 125 and 125


(B) 125 and 250
(C) 250 and 125
(D) 250 and 250

Q.31 The following arrangement consists of an ideal transformer and an attenuator which attenuates
by a factor of 0.8. An ac voltage VWX 1 = 100V is applied across WX to get an open circuit voltage
VYZ 1 across YZ. Next, an ac voltage VYZ 2 = 100V is applied across YZ to get an open circuit
voltage VWX 2 across WX. Then, VYZ 1 / VWX 1 , VWX 2 / VYZ 2 are respectively,
W
1:1.25
Y

X Z

(A) 125/100 and 80/100 (B) 100/100 and 80/100


(C) 100/100 and 100/100 (D) 80/100 and 80/100
Q.32 Two magnetically uncoupled inductive coils have Q factors q1 and q2 at the chosen operating
frequency. Their respective resistances are R1 and R2. When connected in series, their effective
Q factor at the same operating frequency is
(A) q1 + q2 (B) (1 / q1 ) + (1 / q2 )
(C) ( q1 R1 + q2 R2 ) / ( R1 + R2 ) (D) ( q1 R2 + q2 R1 ) / ( R1 + R2 )

Q.33 The impulse response of a continuous time system is given by h (t ) = δ (t − 1) + δ (t − 3) . The value
of the step response at t = 2 is
(A) 0 (B) 1 (C) 2 (D) 3

EC-A 8/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.34 The small-signal resistance (i.e., dVB/dID) in kΩ offered by the n-channel MOSFET M shown in the
figure below, at a bias point of VB = 2 V is (device data for M: device transconductance parameter
′ (W L ) = 40 µA/V2, threshold voltage VTN = 1 V, and neglect body effect and channel
kN = µn Cox
length modulation effects)
VB
ID

(A) 12.5 (B) 25 (C) 50 (D) 100

Q.35 The ac schematic of an NMOS common-source stage is shown in the figure below, where part of
the biasing circuits has been omitted for simplicity. For the n -channel MOSFET M, the
transconductance gm = 1 mA/V, and body effect and channel length modulation effect are to be
neglected. The lower cutoff frequency in Hz of the circuit is approximately at

RD C
10 kΩ 1 µF
v0

vi
M
RL
10 kΩ

(A) 8 (B) 32 (C) 50 (D) 200

EC-A 9/24
2013 ELECTRONICS & COMMN. ENGG. - EC

d2y dy
Q.36 A system is described by the differential equation 2
+ 5 + 6 y (t ) = x(t ) .
dt dt

Let x(t) be a rectangular pulse given by


1 0 < t < 2
x (t ) = 
0 otherwise
dy
Assuming that y(0) = 0 and = 0 at t = 0 , the Laplace transform of y ( t ) is
dt
e−2 s 1 − e−2 s
(A) (B)
s ( s + 2)( s + 3) s ( s + 2)( s + 3)
e−2s 1 − e−2 s
(C) (D)
(s + 2)(s + 3) (s + 2)(s + 3)

Q.37 A system described by a linear, constant coefficient, ordinary, first order differential equation has
an exact solution given by y (t ) for t > 0 , when the forcing function is x(t ) and the initial
condition is y (0) . If one wishes to modify the system so that the solution becomes
−2 y(t ) for t > 0 , we need to
(A) change the initial condition to − y(0) and the forcing function to 2 x(t )
(B) change the initial condition to 2 y (0) and the forcing function to − x(t )
(C) change the initial condition to j 2 y (0) and the forcing function to j 2 x ( t )
(D) change the initial condition to −2 y (0) and the forcing function to −2 x(t )

Q.38 Consider two identically distributed zero-mean random variables U and V . Let the cumulative
distribution functions of U and 2V be F ( x ) and G ( x ) respectively. Then, for all values of x

(A) F ( x ) − G ( x ) ≤ 0 (B) F ( x ) − G ( x ) ≥ 0
(C) ( F ( x ) − G ( x )) ⋅ x ≤ 0 (D) ( F ( x ) − G ( x )) ⋅ x ≥ 0

Q.39 The DFT of a vector [ a b c d ] is the vector [α β γ δ ] . Consider the product


a b c d
d a b c 
[p q r s] = [a b c d ] 
c
.
d a b
 
b c d a
The DFT of the vector [ p q r s ] is a scaled version of

(A) α 2 β 2 γ 2 δ 2  (B)  α β γ δ 



(C) [α + β β + δ δ + γ γ + α ] (D) [α β γ δ]

EC-A 10/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Y (s)
Q.40 The signal flow graph for a system is given below. The transfer function for this system is
U ( s)

s +1 s +1
(A) (B)
5s + 6s + 2
2
s + 6s + 2
2

s +1 1
(C) (D)
s2 + 4 s + 2 5s2 + 6s + 2

Q.41 In the circuit shown below the op-amps are ideal. Then Vout in Volts is

(A) 4 (B) 6 (C) 8 (D) 10


Q.42 In the circuit shown below, Q1 has negligible collector-to-emitter saturation voltage and the diode
drops negligible voltage across it under forward bias. If Vcc is +5 V, X and Y are digital signals
with 0 V as logic 0 and Vcc as logic 1, then the Boolean expression for Z is

(A) X Y (B) X Y (C) X Y (D) XY


EC-A 11/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.43 A voltage 1000 sin ω t Volts is applied across YZ. Assuming ideal diodes, the voltage measured
across WX in Volts, is

1kΩ
W Y X
Z

+ 1kΩ _

(A) sin ω t (B) (sin ω t + sin ω t ) / 2


(C) (sin ω t − sin ω t ) / 2 (D) 0 for all t

Q.44 Three capacitors C1, C2 and C3 whose values are 10µF, 5µF, and 2µF respectively, have breakdown
voltages of 10V, 5V, and 2V respectively. For the interconnection shown below, the maximum safe
voltage in Volts that can be applied across the combination, and the corresponding total charge
in µC stored in the effective capacitance across the terminals are respectively,
C2 C3

C1

(A) 2.8 and 36 (B) 7 and 119


(C) 2.8 and 32 (D) 7 and 80

EC-A 12/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.45 There are four chips each of 1024 bytes connected to a 16 bit address bus as shown in the figure
below. RAMs 1, 2, 3 and 4 respectively are mapped to addresses

(A) 0C00H-0FFFH, 1C00H-1FFFH, 2C00H-2FFFH, 3C00H-3FFFH


(B) 1800H-1FFFH, 2800H-2FFFH, 3800H-3FFFH, 4800H-4FFFH
(C) 0500H-08FFH, 1500H-18FFH, 3500H-38FFH, 5500H-58FFH
(D) 0800H-0BFFH, 1800H-1BFFH, 2800H-2BFFH, 3800H-3BFFH

EC-A 13/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.46 In the circuit shown below, the silicon npn transistor Q has a very high value of β . The required
value of R2 in kΩ to produce IC = 1 mA is
VCC
3V

IC
R1
60 kΩ

R2
RE
500 Ω

(A) 20 (B) 30 (C) 40 (D) 50


Q.47 Let U and V be two independent and identically distributed random variables such that
1
P(U = +1) = P(U = −1) = . The entropy H (U + V ) in bits is
2
(A) 3/4 (B) 1 (C) 3/2 (D) log23

Common Data Questions


Common Data for Questions 48 and 49:
Bits 1 and 0 are transmitted with equal probability. At the receiver, the pdf of the respective received
signals for both bits are as shown below.

pdf of received
1
signal for bit 0

0.5 pdf of received


signal for bit 1

-1 0 1 2 4

Q.48 If the detection threshold is 1, the BER will be

1 1 1 1
(A) (B) (C) (D)
2 4 8 16
Q.49 The optimum threshold to achieve minimum bit error rate (BER) is

1 4 3
(A) (B) (C) 1 (D)
2 5 2
EC-A 14/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Common Data for Questions 50 and 51:


Consider the following figure

IS
1Ω
+ 5Ω
VS
10V 2Ω

- 2A

Q.50 The current IS in Amps in the voltage source, and voltage VS in Volts across the current source
respectively, are
(A) 13, − 20 (B) 8, − 10 (C) − 8, 20 (D) − 13, 20

Q.51 The current in the 1Ω resistor in Amps is


(A) 2 (B) 3.33 (C) 10 (D) 12
Linked Answer Questions
Statement for Linked Answer Questions 52 and 53:
A monochromatic plane wave of wavelength λ = 600 µ m is propagating in the direction as shown in the
r r r
figure below. Ei , Er , and Et denote incident, reflected, and transmitted electric field vectors associated
with the wave.
E
i E
r kr
Hi
Hr
ki θi θ r
ε r = 1.0
0 ε r = 4.5 x
Ht E
0 t
19.2
kt

r
Q.52 The angle of incidence θ i and the expression for Ei are
π ×104 ( x + z )
E −j
(A) 60 and 0 ( aˆ x − aˆ z ) e
0 3 2
V/m
2
π ×10 z 4
E0 −j
(B) 450 and ( aˆ x + aˆ z ) e 3 V/m
2
π ×104 ( x + z )
E −j
(C) 45 and 0 ( aˆ x − aˆ z ) e
0 3 2
V/m
2
π ×10 z4
E −j
(D) 60 0 and 0 ( aˆ x − aˆ z ) e 3
V/m
2
EC-A 15/24
2013 ELECTRONICS & COMMN. ENGG. - EC

r
Q.53 The expression for Er is

π ×104 ( x − z )
E −j
(A) 0.23 0 ( aˆ x + aˆ z ) e 3 2
V/m
2
π ×10 z 4
E
(B) − 0 ( aˆ x + aˆ z ) e 3 V/m
j

2
π ×104 ( x − z )
E −j
(C) 0.44 0 ( aˆ x + aˆ z ) e 3 2
V/m
2
π ×10 ( x + z )
4
E −j
(D) 0 ( aˆ x + aˆ z ) e 3
V/m
2

Statement for Linked Answer Questions 54 and 55:

The state diagram of a system is shown below. A system is described by the state-variable equations

X =AX+B u ; y = CX + D u

1 -1 1 -1 1
u y

1 1
s s

Q.54 The state-variable equations of the system shown in the figure above are
•  −1 0   −1 •  −1 0   −1
X=  X+  u X=  X+  u
(A)  1 −1 1 (B)  −1 −1 1
y = [1 −1] X + u y = [ −1 −1] X + u

•  −1 0   −1 •  −1 −1  −1


X=  X+  u X=  X+  u
(C)  −1 −1 1 (D)  0 −1 1
y = [ −1 −1] X − u y = [1 −1] X − u

Q.55 The state transition matrix eAt of the system shown in the figure above is
 e −t 0  e−t 0 e−t 0 e −t −te − t 
(A)  − t  (B)   (C)  − t  (D)  
te e −t   −te
−t
e−t  e e −t  0 e−t 

EC-A 16/24
2013 ELECTRONICS & COMMN. ENGG. - EC

General Aptitude (GA) Questions

Q.56 to Q.60 carry one mark each.


Q.56 Choose the grammatically CORRECT sentence:
(A) Two and two add four.
(B) Two and two become four.
(C) Two and two are four.
(D) Two and two make four.

Q.57 Statement: You can always give me a ring whenever you need.
Which one of the following is the best inference from the above statement?
(A) Because I have a nice caller tune.
(B) Because I have a better telephone facility.
(C) Because a friend in need is a friend indeed.
(D) Because you need not pay towards the telephone bills when you give me a ring.

Q.58 In the summer of 2012, in New Delhi, the mean temperature of Monday to Wednesday was 41°C
and of Tuesday to Thursday was 43°C. If the temperature on Thursday was 15% higher than that of
Monday, then the temperature in °C on Thursday was
(A) 40 (B) 43 (C) 46 (D) 49

Q.59 Complete the sentence:


Dare _______________ mistakes.
(A) commit (B) to commit (C) committed (D) committing

Q.60 They were requested not to quarrel with others.


Which one of the following options is the closest in meaning to the word quarrel?
(A) make out (B) call out (C) dig out (D) fall out

Q.61 to Q.65 carry two marks each.


Q.61 A car travels 8 km in the first quarter of an hour, 6 km in the second quarter and 16 km in the third
quarter. The average speed of the car in km per hour over the entire journey is
(A) 30 (B) 36 (C) 40 (D) 24

Q.62 Find the sum to n terms of the series 10+84+ 734 + .....

(A)
(
9 9n + 1 ) +1
10
(B)
(
9 9n − 1 ) +1
8
(C)
(
9 9 −1
n
)+n
8
(D)
(
9 9 −1
n
)+ n 2

8
EC-A 17/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.63 Statement: There were different streams of freedom movements in colonial India carried out by the
moderates, liberals, radicals, socialists, and so on.
Which one of the following is the best inference from the above statement?
(A) The emergence of nationalism in colonial India led to our Independence.
(B) Nationalism in India emerged in the context of colonialism.
(C) Nationalism in India is homogeneous.
(D) Nationalism in India is heterogeneous.

Q.64 The set of values of p for which the roots of the equation 3x2+2x+p(p–1) = 0 are of opposite sign is
(A) (–∞, 0) (B) (0, 1) (C) (1, ∞) (D) (0, ∞)

Q.65 What is the chance that a leap year, selected at random, will contain 53 Saturdays?
(A) 2/7 (B) 3/7 (C) 1/7 (D) 5/7

END OF THE QUESTION PAPER

EC-A 18/24
SESSION - 2
2013 Question Booklet Code
B
`EC : ELECTRONICS AND COMMUNICATION ENGINEERING
Duration: Three Hours Maximum Marks: 100

Read the following instructions carefully.

1. Do not open the seal of the Question Booklet until you are asked to do so by the invigilator.
2. Take out the Optical Response Sheet (ORS) from this Question Booklet without breaking the seal
and read the instructions printed on the ORS carefully. If you find that either:
a. The Question Booklet Code printed at the right hand top corner of this page does not match with
the Question Booklet Code at the right hand top corner of the ORS or
b. The Question Paper Code preceding the Registration number on the ORS is not EC,
then exchange the booklet immediately with a new sealed Question Booklet.
3. On the right hand side of the ORS, using ONLY a black ink ballpoint pen, (i) darken the appropriate
bubble under each digit of your registration number and (ii) write your registration number, your
name and name of the examination centre and put your signature at the specified location.
4. This Question Booklet contains 24 pages including blank pages for rough work. After you are
permitted to open the seal, check all pages and report discrepancies, if any, to the invigilator.
5. There are a total of 65 questions carrying 100 marks. All these questions are of objective type. Each
question has only one correct answer. Questions must be answered on the left hand side of the ORS
by darkening the appropriate bubble (marked A, B, C, D) using ONLY a black ink ballpoint pen
against the question number. For each question darken the bubble of the correct answer. More
than one answer bubbled against a question will be treated as an incorrect response.
6. Since bubbles darkened by the black ink ballpoint pen cannot be erased, candidates should darken the
bubbles in the ORS very carefully.
7. Questions Q.1 – Q.25 carry 1 mark each. Questions Q.26 – Q.55 carry 2 marks each. The 2 marks
questions include two pairs of common data questions and two pairs of linked answer questions. The
answer to the second question of the linked answer questions depends on the answer to the first
question of the pair. If the first question in the linked pair is wrongly answered or is not attempted,
then the answer to the second question in the pair will not be evaluated.
8. Questions Q.56 – Q.65 belong to General Aptitude (GA) section and carry a total of 15 marks.
Questions Q.56 – Q.60 carry 1 mark each, and questions Q.61 – Q.65 carry 2 marks each.
9. Questions not attempted will result in zero mark and wrong answers will result in NEGATIVE
marks. For all 1 mark questions, ⅓ mark will be deducted for each wrong answer. For all 2 marks
questions, ⅔ mark will be deducted for each wrong answer. However, in the case of the linked
answer question pair, there will be negative marks only for wrong answer to the first question and no
negative marks for wrong answer to the second question.
10. Calculator is allowed whereas charts, graph sheets or tables are NOT allowed in the examination hall.
11. Rough work can be done on the Question Booklet itself. Blank pages are provided at the end of the
Question Booklet for rough work.
12. Before the start of the examination, write your name and registration number in the space provided
below using a black ink ballpoint pen.

Name

Registration Number EC
EC-B 1/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.1 to Q.25 carry one mark each.

Q.1 For a periodic signal v (t ) = 30 sin 100 t + 10 cos 300 t + 6 sin (500 t + π / 4) , the fundamental frequency in
rad/s is
(A) 100 (B) 300 (C) 500 (D) 1500

Q.2 In a voltage-voltage feedback as shown below, which one of the following statements is TRUE if
the gain k is increased?

(A) The input impedance increases and output impedance decreases.


(B) The input impedance increases and output impedance also increases.
(C) The input impedance decreases and output impedance also decreases.
(D) The input impedance decreases and output impedance increases.

Q.3 A band-limited signal with a maximum frequency of 5 kHz is to be sampled. According to the
sampling theorem, the sampling frequency which is not valid is
(A) 5 kHz (B) 12 kHz (C) 15 kHz (D) 20 kHz

Q.4 In a MOSFET operating in the saturation region, the channel length modulation effect causes
(A) an increase in the gate-source capacitance
(B) a decrease in the transconductance
(C) a decrease in the unity-gain cutoff frequency
(D) a decrease in the output resistance

Q.5 Which one of the following statements is NOT TRUE for a continuous time causal and stable
LTI system?
(A) All the poles of the system must lie on the left side of the jω axis.
(B) Zeros of the system can lie anywhere in the s-plane.
(C) All the poles must lie within s = 1 .
(D) All the roots of the characteristic equation must be located on the left side of the jω axis.

EC-B 2/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.6 The minimum eigenvalue of the following matrix is


 3 5 2
5 12 7 
 
 2 7 5 
(A) 0 (B) 1 (C) 2 (D) 3

A polynomial f ( x) = a4 x + a3 x + a2 x + a1 x − a0 with all coefficients positive has


4 3 2
Q.7

(A) no real roots (B) no negative real root


(C) odd number of real roots (D) at least one positive and one negative real root

Q.8 Assuming zero initial condition, the response y (t ) of the system given below to a unit step input
u (t ) is

2
(A) u (t ) (B) t u (t ) (C) t u (t )
2
(D) e − t u (t )

V2 ( s )
Q.9 The transfer function of the circuit shown below is
V1 ( s )

0.5 s + 1 3s + 6
(A) (B)
s +1 s+2
s+2 s +1
(C) (D)
s +1 s+2

Q.10 A source vs (t ) = V cos100 π t has an internal impedance of (4 + j3) Ω. If a purely resistive load
connected to this source has to extract the maximum power out of the source, its value in Ω should be
(A) 3 (B) 4 (C) 5 (D) 7

Q.11 The return loss of a device is found to be 20 dB. The voltage standing wave ratio (VSWR) and
magnitude of reflection coefficient are respectively
(A) 1.22 and 0.1 (B) 0.81 and 0.1 (C) − 1.22 and 0.1 (D) 2.44 and 0.2

EC-B 3/24
2013 ELECTRONICS & COMMN. ENGG. - EC

− π t2
Q.12 Let g (t ) = e , and h ( t ) is a filter matched to g ( t ) . If g ( t ) is applied as input to h ( t ) , then
the Fourier transform of the output is
2 2

(A) e −π f (B) e−π f (C) e −π | f | (D) e−2π f


2 /2

r r r r
Q.13 Consider a vector field A( r ) . The closed loop line integral ∫ A • dl can be expressed as
r r
(A) ∫∫ (∇× A)r• ds over the closed surface bounded by the loop
(B) ∫∫∫ (∇ •rA)dv over the closed volume bounded by the loop
(C) ∫∫∫ (∇ •rA)dv over the open volume bounded by the loop
r
(D) ∫∫ (∇ × A) • ds over the open surface bounded by the loop

Q.14 A bulb in a staircase has two switches, one switch being at the ground floor and the other one at the
first floor. The bulb can be turned ON and also can be turned OFF by any one of the switches
irrespective of the state of the other switch. The logic of switching of the bulb resembles
(A) an AND gate (B) an OR gate (C) an XOR gate (D) a NAND gate

Q.15 Two systems with impulse responses h1 (t ) and h2 (t ) are connected in cascade. Then the overall
impulse response of the cascaded system is given by
(A) product of h1 (t ) and h2 (t )
(B) sum of h1 (t ) and h2 (t )
(C) convolution of h1 (t ) and h2 (t )
(D) subtraction of h2 (t ) from h1 (t )

Q.16 In a forward biased pn junction diode, the sequence of events that best describes the mechanism of
current flow is
(A) injection, and subsequent diffusion and recombination of minority carriers
(B) injection, and subsequent drift and generation of minority carriers
(C) extraction, and subsequent diffusion and generation of minority carriers
(D) extraction, and subsequent drift and recombination of minority carriers

Q.17 In IC technology, dry oxidation (using dry oxygen) as compared to wet oxidation (using steam or
water vapor) produces
(A) superior quality oxide with a higher growth rate
(B) inferior quality oxide with a higher growth rate
(C) inferior quality oxide with a lower growth rate
(D) superior quality oxide with a lower growth rate

Q.18 The maximum value of θ until which the approximation sin θ ≈ θ holds to within 10% error is
(A) 10° (B) 18° (C) 50° (D) 90°

EC-B 4/24
2013 ELECTRONICS & COMMN. ENGG. - EC

r
Q.19 The divergence of the vector field A = xaˆ x + yaˆ y + zaˆ z is

(A) 0 (B) 1/3 (C) 1 (D) 3

Q.20 The impulse response of a system is h(t ) = t u (t ) . For an input u (t − 1) , the output is

t2 t (t −1) (t −1) 2 t 2 −1
(A) u (t ) (B) u (t −1) (C) u (t −1) (D) u (t −1)
2 2 2 2

Q.21 The Bode plot of a transfer function G (s ) is shown in the figure below.
Gain (dB)

The gain (20 log G ( s) ) is 32 dB and −8 dB at 1 rad/s and 10 rad/s respectively. The phase is
negative for all ω. Then G (s) is

39.8 39.8 32 32
(A) (B) (C) (D)
s s2 s s2

Q.22 In the circuit shown below what is the output voltage (Vout) if a silicon transistor Q and an ideal
op-amp are used?

+15 V Q
1 kΩ

+ Vout
5V
– 15 V

(A) 15 V (B) 0.7 V (C) 0.7 V (D) 15 V

EC-B 5/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.23 Consider a delta connection of resistors and its equivalent star connection as shown below. If all
elements of the delta connection are scaled by a factor k, k> 0, the elements of the corresponding
star equivalent will be scaled by a factor of

Ra RC RB
Rb Rc
RA

(A) k2 (B) k (C) 1/k (D) k

Q.24 The bit rate of a digital communication system is R kbits/s. The modulation used is 32-QAM. The
minimum bandwidth required for ISI free transmission is
(A) R/10 Hz (B) R/10 kHz (C) R/5 Hz (D) R/5 kHz

Q.25 For 8085 microprocessor, the following program is executed.

MVI A, 05H;
MVI B, 05H;
PTR: ADD B;
DCR B;
JNZ PTR;
ADI 03H;
HLT;

At the end of program, accumulator contains


(A) 17H (B) 20H (C) 23H (D) 05H

EC-B 6/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.26 to Q.55 carry two marks each.


Q.26 Consider two identically distributed zero-mean random variables U and V . Let the cumulative
distribution functions of U and 2V be F ( x ) and G ( x ) respectively. Then, for all values of x

(A) F ( x ) − G ( x ) ≤ 0 (B) F ( x ) − G ( x ) ≥ 0
(C) ( F ( x ) − G ( x )) ⋅ x ≤ 0 (D) ( F ( x ) − G ( x )) ⋅ x ≥ 0
Q.27 A system described by a linear, constant coefficient, ordinary, first order differential equation has
an exact solution given by y (t ) for t > 0 , when the forcing function is x(t ) and the initial
condition is y (0) . If one wishes to modify the system so that the solution becomes
−2 y(t ) for t > 0 , we need to
(A) change the initial condition to − y(0) and the forcing function to 2 x(t )
(B) change the initial condition to 2 y (0) and the forcing function to − x(t )
(C) change the initial condition to j 2 y (0) and the forcing function to j 2 x (t )
(D) change the initial condition to −2 y (0) and the forcing function to −2 x (t )

Q.28 The DFT of a vector [ a b c d ] is the vector [α β γ δ ] . Consider the product


a b c d
d a b c 
[p q r s] = [a b c d ] 
c
.
d a b
 
b c d a
The DFT of the vector [ p q r s ] is a scaled version of

(A) α β 2 γ 2 δ 2  (B)  α β γ δ 


2

(C) [α + β β + δ δ + γ γ + α ] (D) [α β γ δ]
Y (s)
Q.29 The signal flow graph for a system is given below. The transfer function for this system is
U ( s)

U(s) 1 s-1 s-1 1 Y(s)

–4

–2

s +1 s +1
(A) (B)
5s2 + 6s + 2 s2 + 6 s + 2
s +1 1
(C) (D)
s2 + 4 s + 2 5s2 + 6s + 2

EC-B 7/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.30 In the circuit shown below the op-amps are ideal. Then Vout in Volts is

(A) 4 (B) 6 (C) 8 (D) 10

Q.31 In the circuit shown below, Q1 has negligible collector-to-emitter saturation voltage and the diode
drops negligible voltage across it under forward bias. If Vcc is +5 V, X and Y are digital signals
with 0 V as logic 0 and Vcc as logic 1, then the Boolean expression for Z is

(A) X Y (B) X Y (C) X Y (D) XY

Q.32 A voltage 1000 sin ω t Volts is applied across YZ. Assuming ideal diodes, the voltage measured
across WX in Volts, is

1kΩ
W Y X
Z

+ 1kΩ _

(A) sin ω t (B) (sin ω t + sin ω t ) / 2


(C) (sin ω t − sin ω t ) / 2 (D) 0 for all t

EC-B 8/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.33 Three capacitors C1, C2 and C3 whose values are 10µF, 5µF, and 2µF respectively, have breakdown
voltages of 10V, 5V, and 2V respectively. For the interconnection shown below, the maximum safe
voltage in Volts that can be applied across the combination, and the corresponding total charge
in µC stored in the effective capacitance across the terminals are respectively,
C2 C3

C1

(A) 2.8 and 36 (B) 7 and 119


(C) 2.8 and 32 (D) 7 and 80

Q.34 There are four chips each of 1024 bytes connected to a 16 bit address bus as shown in the figure
below. RAMs 1, 2, 3 and 4 respectively are mapped to addresses

(A) 0C00H-0FFFH, 1C00H-1FFFH, 2C00H-2FFFH, 3C00H-3FFFH


(B) 1800H-1FFFH, 2800H-2FFFH, 3800H-3FFFH, 4800H-4FFFH
(C) 0500H-08FFH, 1500H-18FFH, 3500H-38FFH, 5500H-58FFH
(D) 0800H-0BFFH, 1800H-1BFFH, 2800H-2BFFH, 3800H-3BFFH

EC-B 9/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.35 In the circuit shown below, the silicon npn transistor Q has a very high value of β . The required
value of R2 in kΩ to produce IC = 1 mA is
VCC
3V

IC
R1
60 kΩ

R2
RE
500 Ω

(A) 20 (B) 30 (C) 40 (D) 50

Q.36 Let U and V be two independent and identically distributed random variables such that
1
P(U = +1) = P(U = −1) = . The entropy H (U + V ) in bits is
2
(A) 3/4 (B) 1 (C) 3/2 (D) log23

1 1
Q.37 Let U and V be two independent zero mean Gaussian random variables of variances and
4 9
respectively. The probability P (3V ≥ 2U ) is

(A) 4/9 (B) 1/2 (C) 2/3 (D) 5/9

Q.38 Let A be an m × n matrix and B an n × m matrix. It is given that determinant ( I m + AB ) =


determinant ( I n +BA) , where I k is the k × k identity matrix. Using the above property, the
determinant of the matrix given below is
2 1 1 1
1 2 1 1 

1 1 2 1
 
1 1 1 2
(A) 2 (B) 5 (C) 8 (D) 16

EC-B 10/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.39 In the circuit shown below, if the source voltage VS = 100∠53.13° V then the Thevenin’s equivalent
voltage in Volts as seen by the load resistance RL is

3Ω j4 Ω j6 Ω 5Ω

VL1

VS j40I2 10VL1 RL=10 Ω

I1 I2

(A) 100∠90° (B) 800∠0° (C) 800∠90° (D) 100∠60°


ω (s) 10
Q.40 The open-loop transfer function of a dc motor is given as = . When connected in
Va ( s) 1 + 10 s
feedback as shown below, the approximate value of K a that will reduce the time constant of the
closed loop system by one hundred times as compared to that of the open-loop system is

(A) 1 (B) 5 (C) 10 (D) 100


Q.41 In the circuit shown below, the knee current of the ideal Zener diode is 10 mA. To maintain 5 V
across RL, the minimum value of RL in Ω and the minimum power rating of the Zener diode in mW,
respectively, are

(A) 125 and 125


(B) 125 and 250
(C) 250 and 125
(D) 250 and 250

EC-B 11/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.42 The following arrangement consists of an ideal transformer and an attenuator which attenuates
by a factor of 0.8. An ac voltage VWX 1 = 100V is applied across WX to get an open circuit voltage
VYZ 1 across YZ. Next, an ac voltage VYZ 2 = 100V is applied across YZ to get an open circuit
voltage VWX 2 across WX. Then, VYZ 1 / VWX 1 , VWX 2 / VYZ 2 are respectively,
W
1:1.25
Y

X Z

(A) 125/100 and 80/100 (B) 100/100 and 80/100


(C) 100/100 and 100/100 (D) 80/100 and 80/100

Q.43 Two magnetically uncoupled inductive coils have Q factors q1 and q2 at the chosen operating
frequency. Their respective resistances are R1 and R2. When connected in series, their effective
Q factor at the same operating frequency is
(A) q1 + q2 (B) (1/ q1 ) + (1/ q2 )
(C) ( q1 R1 + q2 R2 ) / ( R1 + R2 ) (D) ( q1 R2 + q2 R1 ) / ( R1 + R2 )

Q.44 The impulse response of a continuous time system is given by h (t ) = δ (t − 1) + δ (t − 3) . The value
of the step response at t = 2 is
(A) 0 (B) 1 (C) 2 (D) 3

Q.45 The small-signal resistance (i.e., dVB/dID) in kΩ offered by the n-channel MOSFET M shown in the
figure below, at a bias point of VB = 2 V is (device data for M: device transconductance parameter
′ (W L ) = 40 µA/V2, threshold voltage VTN = 1 V, and neglect body effect and channel
kN = µn Cox
length modulation effects)
VB
ID

(A) 12.5 (B) 25 (C) 50 (D) 100

EC-B 12/24
2013 ELECTRONICS & COMMN. ENGG. - EC

d2y dy
Q.46 A system is described by the differential equation 2
+ 5 + 6 y (t ) = x(t ) .
dt dt

Let x(t) be a rectangular pulse given by


1 0 < t < 2
x (t ) = 
0 otherwise
dy
Assuming that y(0) = 0 and = 0 at t = 0 , the Laplace transform of y ( t ) is
dt
e−2 s 1 − e−2 s
(A) (B)
s ( s + 2)( s + 3) s ( s + 2)( s + 3)
e−2s 1 − e−2 s
(C) (D)
(s + 2)(s + 3) (s + 2)(s + 3)

Q.47 The ac schematic of an NMOS common-source stage is shown in the figure below, where part of
the biasing circuits has been omitted for simplicity. For the n -channel MOSFET M, the
transconductance gm = 1 mA/V, and body effect and channel length modulation effect are to be
neglected. The lower cutoff frequency in Hz of the circuit is approximately at

RD C
10 kΩ 1 µF
v0

vi
M
RL
10 kΩ

(A) 8 (B) 32 (C) 50 (D) 200

EC-B 13/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Common Data Questions


Common Data for Questions 48 and 49:
Consider the following figure

IS
1Ω
+ 5Ω
VS
10V 2Ω

- 2A

Q.48 The current IS in Amps in the voltage source, and voltage VS in Volts across the current source
respectively, are
(A) 13, − 20 (B) 8, − 10 (C) − 8, 20 (D) − 13, 20

Q.49 The current in the 1Ω resistor in Amps is


(A) 2 (B) 3.33 (C) 10 (D) 12

Common Data for Questions 50 and 51:

Bits 1 and 0 are transmitted with equal probability. At the receiver, the pdf of the respective received
signals for both bits are as shown below.

pdf of received
1
signal for bit 0

0.5 pdf of received


signal for bit 1

-1 0 1 2 4

Q.50 If the detection threshold is 1, the BER will be

1 1 1 1
(A) (B) (C) (D)
2 4 8 16

Q.51 The optimum threshold to achieve minimum bit error rate (BER) is

1 4 3
(A) (B) (C) 1 (D)
2 5 2

EC-B 14/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Linked Answer Questions


Statement for Linked Answer Questions 52 and 53:

The state diagram of a system is shown below. A system is described by the state-variable equations

X =AX+B u ; y = CX + D u

1 -1 1 -1 1
u y

1 1
s s

Q.52 The state-variable equations of the system shown in the figure above are
•  −1 0   −1 •  −1 0   −1
X=  X+  u X=  X+  u
(A)  1 −1 1 (B)  −1 −1 1
y = [1 −1] X + u y = [ −1 −1] X + u

•  −1 0   −1 •  −1 −1  −1


X=  X +  1 u X=  X +  1 u
(C)  −1 −1   (D)  0 −1  
y = [ −1 −1] X − u y = [1 −1] X − u

Q.53 The state transition matrix eAt of the system shown in the figure above is
 e −t 0  e−t 0 e−t 0 e −t −te − t 
(A)  − t  (B)   (C)  − t  (D)  
te e −t   −te
−t
e−t  e e −t  0 e−t 

EC-B 15/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Statement for Linked Answer Questions 54 and 55:


A monochromatic plane wave of wavelength λ = 600 µ m is propagating in the direction as shown in the
r r r
figure below. Ei , Er , and Et denote incident, reflected, and transmitted electric field vectors associated
with the wave.
E
i E
r kr
Hi
Hr
ki θi θ r
ε r = 1.0
0 ε r = 4.5 x
Ht E
0 t
19.2
kt

r
Q.54 The angle of incidence θ i and the expression for Ei are
π ×104 ( x + z )
E −j
(A) 60 0 and 0 ( aˆ x − aˆ z ) e 3 2
V/m
2
π ×10 z 4
E0 −j
(B) 450 and ( aˆ x + aˆ z ) e 3 V/m
2
π ×104 ( x + z )
E −j
(C) 450 and 0 ( aˆ x − aˆ z ) e 3 2
V/m
2
π ×10 z 4
E −j
(D) 60 0 and 0 ( aˆ x − aˆ z ) e 3
V/m
2
r
Q.55 The expression for Er is

π ×104 ( x − z )
E −j
(A) 0.23 0 ( aˆ x + aˆ z ) e 3 2
V/m
2
π ×10 z 4
E
(B) − 0 ( aˆ x + aˆ z ) e 3 V/m
j

2
π ×104 ( x − z )
E −j
(C) 0.44 0 ( aˆ x + aˆ z ) e 3 2
V/m
2
π ×10 ( x + z )
4
E −j
(D) 0 ( aˆ x + aˆ z ) e 3
V/m
2

EC-B 16/24
2013 ELECTRONICS & COMMN. ENGG. - EC

General Aptitude (GA) Questions


Q.56 to Q.60 carry one mark each.
Q.56 Statement: You can always give me a ring whenever you need.
Which one of the following is the best inference from the above statement?
(A) Because I have a nice caller tune.
(B) Because I have a better telephone facility.
(C) Because a friend in need is a friend indeed.
(D) Because you need not pay towards the telephone bills when you give me a ring.
Q.57 Complete the sentence:
Dare _______________ mistakes.
(A) commit (B) to commit (C) committed (D) committing
Q.58 Choose the grammatically CORRECT sentence:
(A) Two and two add four.
(B) Two and two become four.
(C) Two and two are four.
(D) Two and two make four.
Q.59 They were requested not to quarrel with others.
Which one of the following options is the closest in meaning to the word quarrel?
(A) make out (B) call out (C) dig out (D) fall out
Q.60 In the summer of 2012, in New Delhi, the mean temperature of Monday to Wednesday was 41°C
and of Tuesday to Thursday was 43°C. If the temperature on Thursday was 15% higher than that of
Monday, then the temperature in °C on Thursday was
(A) 40 (B) 43 (C) 46 (D) 49

Q.61 to Q.65 carry two marks each.


Q.61 Find the sum to n terms of the series 10+84+ 734 + .....

(A)
(
9 9n + 1 ) +1
10
(B)
(
9 9n − 1 ) +1
8
(C)
(
9 9 −1
n
)+n
8
(D)
(
9 9 −1
n
)+ n 2

8
Q.62 The set of values of p for which the roots of the equation 3x2+2x+p(p–1) = 0 are of opposite sign is
(A) (–∞, 0) (B) (0, 1) (C) (1, ∞) (D) (0, ∞)

Q.63 A car travels 8 km in the first quarter of an hour, 6 km in the second quarter and 16 km in the third
quarter. The average speed of the car in km per hour over the entire journey is
(A) 30 (B) 36 (C) 40 (D) 24
EC-B 17/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.64 What is the chance that a leap year, selected at random, will contain 53 Saturdays?
(A) 2/7 (B) 3/7 (C) 1/7 (D) 5/7

Q.65 Statement: There were different streams of freedom movements in colonial India carried out by the
moderates, liberals, radicals, socialists, and so on.
Which one of the following is the best inference from the above statement?
(A) The emergence of nationalism in colonial India led to our Independence.
(B) Nationalism in India emerged in the context of colonialism.
(C) Nationalism in India is homogeneous.
(D) Nationalism in India is heterogeneous.

END OF THE QUESTION PAPER

EC-B 18/24
SESSION - 3
2013 Question Booklet Code
C
EC : ELECTRONICS AND COMMUNICATION ENGINEERING
Duration: Three Hours Maximum Marks: 100

Read the following instructions carefully.

1. Do not open the seal of the Question Booklet until you are asked to do so by the invigilator.
2. Take out the Optical Response Sheet (ORS) from this Question Booklet without breaking the seal
and read the instructions printed on the ORS carefully. If you find that either:
a. The Question Booklet Code printed at the right hand top corner of this page does not match with
the Question Booklet Code at the right hand top corner of the ORS or
b. The Question Paper Code preceding the Registration number on the ORS is not EC,
then exchange the booklet immediately with a new sealed Question Booklet.
3. On the right hand side of the ORS, using ONLY a black ink ballpoint pen, (i) darken the appropriate
bubble under each digit of your registration number and (ii) write your registration number, your
name and name of the examination centre and put your signature at the specified location.
4. This Question Booklet contains 24 pages including blank pages for rough work. After you are
permitted to open the seal, check all pages and report discrepancies, if any, to the invigilator.
5. There are a total of 65 questions carrying 100 marks. All these questions are of objective type. Each
question has only one correct answer. Questions must be answered on the left hand side of the ORS
by darkening the appropriate bubble (marked A, B, C, D) using ONLY a black ink ballpoint pen
against the question number. For each question darken the bubble of the correct answer. More
than one answer bubbled against a question will be treated as an incorrect response.
6. Since bubbles darkened by the black ink ballpoint pen cannot be erased, candidates should darken the
bubbles in the ORS very carefully.
7. Questions Q.1 – Q.25 carry 1 mark each. Questions Q.26 – Q.55 carry 2 marks each. The 2 marks
questions include two pairs of common data questions and two pairs of linked answer questions. The
answer to the second question of the linked answer questions depends on the answer to the first
question of the pair. If the first question in the linked pair is wrongly answered or is not attempted,
then the answer to the second question in the pair will not be evaluated.
8. Questions Q.56 – Q.65 belong to General Aptitude (GA) section and carry a total of 15 marks.
Questions Q.56 – Q.60 carry 1 mark each, and questions Q.61 – Q.65 carry 2 marks each.
9. Questions not attempted will result in zero mark and wrong answers will result in NEGATIVE
marks. For all 1 mark questions, ⅓ mark will be deducted for each wrong answer. For all 2 marks
questions, ⅔ mark will be deducted for each wrong answer. However, in the case of the linked
answer question pair, there will be negative marks only for wrong answer to the first question and no
negative marks for wrong answer to the second question.
10. Calculator is allowed whereas charts, graph sheets or tables are NOT allowed in the examination hall.
11. Rough work can be done on the Question Booklet itself. Blank pages are provided at the end of the
Question Booklet for rough work.
12. Before the start of the examination, write your name and registration number in the space provided
below using a black ink ballpoint pen.

Name

Registration Number EC

EC-C 1/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.1 to Q.25 carry one mark each.

Q.1 The bit rate of a digital communication system is R kbits/s. The modulation used is 32-QAM. The
minimum bandwidth required for ISI free transmission is
(A) R/10 Hz (B) R/10 kHz (C) R/5 Hz (D) R/5 kHz

Q.2 For 8085 microprocessor, the following program is executed.

MVI A, 05H;
MVI B, 05H;
PTR: ADD B;
DCR B;
JNZ PTR;
ADI 03H;
HLT;

At the end of program, accumulator contains


(A) 17H (B) 20H (C) 23H (D) 05H

Q.3 Consider a delta connection of resistors and its equivalent star connection as shown below. If all
elements of the delta connection are scaled by a factor k, k> 0, the elements of the corresponding
star equivalent will be scaled by a factor of

Ra RC RB
Rb Rc
RA

(A) k2 (B) k (C) 1/k (D) k

Q.4 In the circuit shown below what is the output voltage (Vout) if a silicon transistor Q and an ideal
op-amp are used?

+15 V Q
1 kΩ

+ Vout
5V
– 15 V

(A) 15 V (B) 0.7 V (C) 0.7 V (D) 15 V

EC-C 2/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.5 The Bode plot of a transfer function G (s ) is shown in the figure below.

Gain (dB)

The gain (20 log G ( s) ) is 32 dB and −8 dB at 1 rad/s and 10 rad/s respectively. The phase is
negative for all ω. Then G (s) is

39.8 39.8 32 32
(A) (B) (C) (D)
s s 2 s s2

Q.6 The impulse response of a system is h(t ) = t u (t ) . For an input u (t − 1) , the output is

t2 t (t −1) (t −1) 2 t 2 −1
(A) u (t ) (B) u (t −1) (C) u (t −1) (D) u (t −1)
2 2 2 2

r
Q.7 The divergence of the vector field A = xaˆ x + yaˆ y + zaˆ z is

(A) 0 (B) 1/3 (C) 1 (D) 3

Q.8 The maximum value of θ until which the approximation sin θ ≈ θ holds to within 10% error is
(A) 10° (B) 18° (C) 50° (D) 90°

Q.9 In IC technology, dry oxidation (using dry oxygen) as compared to wet oxidation (using steam or
water vapor) produces
(A) superior quality oxide with a higher growth rate
(B) inferior quality oxide with a higher growth rate
(C) inferior quality oxide with a lower growth rate
(D) superior quality oxide with a lower growth rate

Q.10 In a forward biased pn junction diode, the sequence of events that best describes the mechanism of
current flow is
(A) injection, and subsequent diffusion and recombination of minority carriers
(B) injection, and subsequent drift and generation of minority carriers
(C) extraction, and subsequent diffusion and generation of minority carriers
(D) extraction, and subsequent drift and recombination of minority carriers
EC-C 3/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.11 Two systems with impulse responses h1 (t ) and h2 (t ) are connected in cascade. Then the overall
impulse response of the cascaded system is given by
(A) product of h1 (t ) and h2 (t )
(B) sum of h1 (t ) and h2 (t )
(C) convolution of h1 (t ) and h2 (t )
(D) subtraction of h2 (t ) from h1 (t )

r r r r
Q.12 Consider a vector field A( r ) . The closed loop line integral ∫ A • dl can be expressed as
r r
(A) ∫∫ (∇× A)r• ds over the closed surface bounded by the loop
(B) ∫∫∫ (∇ •rA)dv over the closed volume bounded by the loop
(C) ∫∫∫ (∇ •rA)dv over the open volume bounded by the loop
r
(D) ∫∫ (∇ × A) • ds over the open surface bounded by the loop

Q.13 A bulb in a staircase has two switches, one switch being at the ground floor and the other one at the
first floor. The bulb can be turned ON and also can be turned OFF by any one of the switches
irrespective of the state of the other switch. The logic of switching of the bulb resembles
(A) an AND gate (B) an OR gate (C) an XOR gate (D) a NAND gate

− π t2
Q.14 Let g (t ) = e , and h (t ) is a filter matched to g (t ) . If g (t ) is applied as input to h (t ) , then
the Fourier transform of the output is

(C) e −π | f |
2 2

(A) e −π f (B) e−π f /2


(D) e−2π f
2

Q.15 The return loss of a device is found to be 20 dB. The voltage standing wave ratio (VSWR) and
magnitude of reflection coefficient are respectively
(A) 1.22 and 0.1 (B) 0.81 and 0.1 (C) − 1.22 and 0.1 (D) 2.44 and 0.2

Q.16 A source vs (t ) = V cos100 π t has an internal impedance of (4 + j3) Ω. If a purely resistive load
connected to this source has to extract the maximum power out of the source, its value in Ω should be
(A) 3 (B) 4 (C) 5 (D) 7

EC-C 4/24
2013 ELECTRONICS & COMMN. ENGG. - EC

V2 ( s )
Q.17 The transfer function of the circuit shown below is
V1 ( s )

0.5 s + 1 3s + 6
(A) (B)
s +1 s+2
s+2 s +1
(C) (D)
s +1 s+2

Q.18 Assuming zero initial condition, the response y (t ) of the system given below to a unit step input
u (t ) is

2
(A) u (t ) (B) t u (t ) (C) t u (t )
2
(D) e − t u (t )

A polynomial f ( x) = a4 x + a3 x + a2 x + a1 x − a0 with all coefficients positive has


4 3 2
Q.19

(A) no real roots (B) no negative real root


(C) odd number of real roots (D) at least one positive and one negative real root

Q.20 The minimum eigenvalue of the following matrix is


 3 5 2
5 12 7 
 
 2 7 5 
(A) 0 (B) 1 (C) 2 (D) 3

Q.21 Which one of the following statements is NOT TRUE for a continuous time causal and stable
LTI system?
(A) All the poles of the system must lie on the left side of the jω axis.
(B) Zeros of the system can lie anywhere in the s-plane.
(C) All the poles must lie within s = 1 .
(D) All the roots of the characteristic equation must be located on the left side of the jω axis.

EC-C 5/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.22 In a MOSFET operating in the saturation region, the channel length modulation effect causes
(A) an increase in the gate-source capacitance
(B) a decrease in the transconductance
(C) a decrease in the unity-gain cutoff frequency
(D) a decrease in the output resistance

Q.23 A band-limited signal with a maximum frequency of 5 kHz is to be sampled. According to the
sampling theorem, the sampling frequency which is not valid is
(A) 5 kHz (B) 12 kHz (C) 15 kHz (D) 20 kHz

Q.24 In a voltage-voltage feedback as shown below, which one of the following statements is TRUE if
the gain k is increased?

(A) The input impedance increases and output impedance decreases.


(B) The input impedance increases and output impedance also increases.
(C) The input impedance decreases and output impedance also decreases.
(D) The input impedance decreases and output impedance increases.

Q.25 For a periodic signal v (t ) = 30 sin 100 t + 10 cos 300 t + 6 sin (500 t + π / 4) , the fundamental frequency in
rad/s is
(A) 100 (B) 300 (C) 500 (D) 1500

EC-C 6/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.26 to Q.55 carry two marks each.

d2y dy
Q.26 A system is described by the differential equation 2
+ 5 + 6 y (t ) = x(t ) .
dt dt

Let x(t) be a rectangular pulse given by


1 0 < t < 2
x (t ) = 
0 otherwise
dy
Assuming that y(0) = 0 and = 0 at t = 0 , the Laplace transform of y ( t ) is
dt
e−2 s 1 − e−2 s
(A) (B)
s ( s + 2)( s + 3) s ( s + 2)( s + 3)
e−2s 1 − e−2 s
(C) (D)
(s + 2)(s + 3) (s + 2)(s + 3)

Q.27 The ac schematic of an NMOS common-source stage is shown in the figure below, where part of
the biasing circuits has been omitted for simplicity. For the n -channel MOSFET M, the
transconductance gm = 1 mA/V, and body effect and channel length modulation effect are to be
neglected. The lower cutoff frequency in Hz of the circuit is approximately at

RD C
10 kΩ 1 µF
v0

vi
M
RL
10 kΩ

(A) 8 (B) 32 (C) 50 (D) 200

EC-C 7/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.28 The small-signal resistance (i.e., dVB/dID) in kΩ offered by the n-channel MOSFET M shown in the
figure below, at a bias point of VB = 2 V is (device data for M: device transconductance parameter
′ (W L ) = 40 µA/V2, threshold voltage VTN = 1 V, and neglect body effect and channel
kN = µn Cox
length modulation effects)
VB
ID

(A) 12.5 (B) 25 (C) 50 (D) 100

Q.29 The impulse response of a continuous time system is given by h (t ) = δ (t − 1) + δ (t − 3) . The value
of the step response at t = 2 is
(A) 0 (B) 1 (C) 2 (D) 3

Q.30 Two magnetically uncoupled inductive coils have Q factors q1 and q2 at the chosen operating
frequency. Their respective resistances are R1 and R2. When connected in series, their effective
Q factor at the same operating frequency is
(A) q1 + q2 (B) (1/ q1 ) + (1/ q2 )
(C) ( q1 R1 + q2 R2 ) / ( R1 + R2 ) (D) ( q1 R2 + q2 R1 ) / ( R1 + R2 )

Q.31 The following arrangement consists of an ideal transformer and an attenuator which attenuates
by a factor of 0.8. An ac voltage VWX 1 = 100V is applied across WX to get an open circuit voltage
VYZ 1 across YZ. Next, an ac voltage VYZ 2 = 100V is applied across YZ to get an open circuit
voltage VWX 2 across WX. Then, VYZ 1 / VWX 1 , VWX 2 / VYZ 2 are respectively,
W
1:1.25
Y

X Z

(A) 125/100 and 80/100 (B) 100/100 and 80/100


(C) 100/100 and 100/100 (D) 80/100 and 80/100

EC-C 8/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.32 In the circuit shown below, the knee current of the ideal Zener diode is 10 mA. To maintain 5 V
across RL, the minimum value of RL in Ω and the minimum power rating of the Zener diode in mW,
respectively, are

(A) 125 and 125


(B) 125 and 250
(C) 250 and 125
(D) 250 and 250

ω (s) 10
Q.33 The open-loop transfer function of a dc motor is given as = . When connected in
V a ( s ) 1 + 10 s
feedback as shown below, the approximate value of K a that will reduce the time constant of the
closed loop system by one hundred times as compared to that of the open-loop system is

(A) 1 (B) 5 (C) 10 (D) 100

Q.34 In the circuit shown below, if the source voltage VS = 100∠53.13° V then the Thevenin’s equivalent
voltage in Volts as seen by the load resistance RL is

3Ω j4 Ω j6 Ω 5Ω

VL1

VS j40I2 10VL1 RL=10 Ω

I1 I2

(A) 100∠90° (B) 800∠0° (C) 800∠90° (D) 100∠60°


EC-C 9/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.35 Let A be an m × n matrix and B an n × m matrix. It is given that determinant ( I m + AB ) =


determinant ( I n +BA) , where I k is the k × k identity matrix. Using the above property, the
determinant of the matrix given below is
2 1 1 1
1 2 1 1 

1 1 2 1
 
1 1 1 2
(A) 2 (B) 5 (C) 8 (D) 16

1 1
Q.36 Let U and V be two independent zero mean Gaussian random variables of variances and
4 9
respectively. The probability P (3V ≥ 2U ) is

(A) 4/9 (B) 1/2 (C) 2/3 (D) 5/9

Q.37 Let U and V be two independent and identically distributed random variables such that
1
P(U = +1) = P(U = −1) = . The entropy H (U + V ) in bits is
2
(A) 3/4 (B) 1 (C) 3/2 (D) log23

Q.38 In the circuit shown below, the silicon npn transistor Q has a very high value of β . The required
value of R2 in kΩ to produce IC = 1 mA is
VCC
3V

IC
R1
60 kΩ

R2
RE
500 Ω

(A) 20 (B) 30 (C) 40 (D) 50

EC-C 10/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.39 There are four chips each of 1024 bytes connected to a 16 bit address bus as shown in the figure
below. RAMs 1, 2, 3 and 4 respectively are mapped to addresses

(A) 0C00H-0FFFH, 1C00H-1FFFH, 2C00H-2FFFH, 3C00H-3FFFH


(B) 1800H-1FFFH, 2800H-2FFFH, 3800H-3FFFH, 4800H-4FFFH
(C) 0500H-08FFH, 1500H-18FFH, 3500H-38FFH, 5500H-58FFH
(D) 0800H-0BFFH, 1800H-1BFFH, 2800H-2BFFH, 3800H-3BFFH

Q.40 Three capacitors C1, C2 and C3 whose values are 10µF, 5µF, and 2µF respectively, have breakdown
voltages of 10V, 5V, and 2V respectively. For the interconnection shown below, the maximum safe
voltage in Volts that can be applied across the combination, and the corresponding total charge
in µC stored in the effective capacitance across the terminals are respectively,
C2 C3

C1

(A) 2.8 and 36 (B) 7 and 119


(C) 2.8 and 32 (D) 7 and 80

EC-C 11/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.41 A voltage 1000 sin ω t Volts is applied across YZ. Assuming ideal diodes, the voltage measured
across WX in Volts, is

1kΩ
W Y X
Z

+ 1kΩ _

(A) sin ω t (B) (sin ω t + sin ω t ) / 2


(C) (sin ω t − sin ω t ) / 2 (D) 0 for all t

Q.42 In the circuit shown below, Q1 has negligible collector-to-emitter saturation voltage and the diode
drops negligible voltage across it under forward bias. If Vcc is +5 V, X and Y are digital signals
with 0 V as logic 0 and Vcc as logic 1, then the Boolean expression for Z is

(A) X Y (B) X Y (C) X Y (D) XY

Q.43 In the circuit shown below the op-amps are ideal. Then Vout in Volts is

(A) 4 (B) 6 (C) 8 (D) 10

EC-C 12/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Y (s)
Q.44 The signal flow graph for a system is given below. The transfer function for this system is
U ( s)

U(s) 1 s-1 s-1 1 Y(s)

–4

–2

s +1 s +1
(A) (B)
5s + 6s + 2
2
s + 6s + 2
2

s +1 1
(C) (D)
s2 + 4 s + 2 5s2 + 6s + 2

Q.45 The DFT of a vector [ a b c d ] is the vector [α β γ δ ] . Consider the product


a b c d
d a b c 
[p q r s] = [a b c d ] 
c
.
d a b
 
b c d a
The DFT of the vector [ p q r s ] is a scaled version of

(A) α 2 β 2 γ 2 δ 2  (B)  α β γ δ 



(C) [α + β β + δ δ + γ γ + α ] (D) [α β γ δ]

Q.46 Consider two identically distributed zero-mean random variables U and V . Let the cumulative
distribution functions of U and 2V be F ( x ) and G ( x ) respectively. Then, for all values of x

(A) F ( x ) − G ( x ) ≤ 0 (B) F ( x ) − G ( x ) ≥ 0
(C) ( F ( x ) − G ( x )) ⋅ x ≤ 0 (D) ( F ( x ) − G ( x )) ⋅ x ≥ 0

Q.47 A system described by a linear, constant coefficient, ordinary, first order differential equation has
an exact solution given by y (t ) for t > 0 , when the forcing function is x(t ) and the initial
condition is y (0) . If one wishes to modify the system so that the solution becomes
−2 y(t ) for t > 0 , we need to
(A) change the initial condition to − y(0) and the forcing function to 2 x(t )
(B) change the initial condition to 2 y (0) and the forcing function to − x(t )
(C) change the initial condition to j 2 y (0) and the forcing function to j 2 x ( t )
(D) change the initial condition to −2 y (0) and the forcing function to −2 x(t )

EC-C 13/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Common Data Questions


Common Data for Questions 48 and 49:

Bits 1 and 0 are transmitted with equal probability. At the receiver, the pdf of the respective received
signals for both bits are as shown below.

pdf of received
1
signal for bit 0

0.5 pdf of received


signal for bit 1

-1 0 1 2 4

Q.48 The optimum threshold to achieve minimum bit error rate (BER) is

1 4 3
(A) (B) (C) 1 (D)
2 5 2

Q.49 If the detection threshold is 1, the BER will be

1 1 1 1
(A) (B) (C) (D)
2 4 8 16

Common Data for Questions 50 and 51:

Consider the following figure

IS
1Ω
+ 5Ω
VS
10V 2Ω

- 2A

Q.50 The current in the 1Ω resistor in Amps is


(A) 2 (B) 3.33 (C) 10 (D) 12

Q.51 The current IS in Amps in the voltage source, and voltage VS in Volts across the current source
respectively, are
(A) 13, − 20 (B) 8, − 10 (C) − 8, 20 (D) − 13, 20

EC-C 14/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Linked Answer Questions


Statement for Linked Answer Questions 52 and 53:

The state diagram of a system is shown below. A system is described by the state-variable equations

X =AX+B u ; y = CX + D u

1 -1 1 -1 1
u y

1 1
s s

Q.52 The state-variable equations of the system shown in the figure above are
•  −1 0   −1 •  −1 0   −1
X=  X+  u X=  X+  u
(A)  1 −1 1 (B)  −1 −1 1
y = [1 −1] X + u y = [ −1 −1] X + u

•  −1 0   −1 •  −1 −1  −1


X=  X +  1 u X=  X +  1 u
(C)  −1 −1   (D)  0 −1  
y = [ −1 −1] X − u y = [1 −1] X − u

Q.53 The state transition matrix eAt of the system shown in the figure above is
 e −t 0  e−t 0 e−t 0 e −t −te − t 
(A)  − t  (B)   (C)  − t  (D)  
te e −t   −te
−t
e−t  e e −t  0 e−t 

EC-C 15/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Statement for Linked Answer Questions 54 and 55:


A monochromatic plane wave of wavelength λ = 600 µ m is propagating in the direction as shown in the
r r r
figure below. Ei , Er , and Et denote incident, reflected, and transmitted electric field vectors associated
with the wave.
E
i E
r kr
Hi
Hr
ki θi θr
ε r = 1.0
0 ε r = 4.5 x
Ht E
0 t
19.2
kt

r
Q.54 The angle of incidence θ i and the expression for Ei are
π ×104 ( x + z )
E −j
(A) 60 0 and 0 ( aˆ x − aˆ z ) e 3 2
V/m
2
π ×10 z 4
E0 −j
(B) 450 and ( aˆ x + aˆ z ) e 3 V/m
2
π ×104 ( x + z )
E −j
(C) 450 and 0 ( aˆ x − aˆ z ) e 3 2
V/m
2
π ×10 z 4
E −j
(D) 60 0 and 0 ( aˆ x − aˆ z ) e 3
V/m
2
r
Q.55 The expression for Er is

π ×104 ( x − z )
E −j
(A) 0.23 0 ( aˆ x + aˆ z ) e 3 2
V/m
2
π ×10 z 4
E
(B) − 0 ( aˆ x + aˆ z ) e 3 V/m
j

2
π ×104 ( x − z )
E −j
(C) 0.44 0 ( aˆ x + aˆ z ) e 3 2
V/m
2
π ×10 ( x + z )
4
E −j
(D) 0 ( aˆ x + aˆ z ) e 3
V/m
2

EC-C 16/24
2013 ELECTRONICS & COMMN. ENGG. - EC

General Aptitude (GA) Questions


Q.56 to Q.60 carry one mark each.
Q.56 Complete the sentence:
Dare _______________ mistakes.
(A) commit (B) to commit (C) committed (D) committing

Q.57 They were requested not to quarrel with others.


Which one of the following options is the closest in meaning to the word quarrel?
(A) make out (B) call out (C) dig out (D) fall out

Q.58 Statement: You can always give me a ring whenever you need.
Which one of the following is the best inference from the above statement?
(A) Because I have a nice caller tune.
(B) Because I have a better telephone facility.
(C) Because a friend in need is a friend indeed.
(D) Because you need not pay towards the telephone bills when you give me a ring.

Q.59 In the summer of 2012, in New Delhi, the mean temperature of Monday to Wednesday was 41°C
and of Tuesday to Thursday was 43°C. If the temperature on Thursday was 15% higher than that of
Monday, then the temperature in °C on Thursday was
(A) 40 (B) 43 (C) 46 (D) 49

Q.60 Choose the grammatically CORRECT sentence:


(A) Two and two add four.
(B) Two and two become four.
(C) Two and two are four.
(D) Two and two make four.
Q.61 to Q.65 carry two marks each.
Q.61 The set of values of p for which the roots of the equation 3x2+2x+p(p–1) = 0 are of opposite sign is
(A) (–∞, 0) (B) (0, 1) (C) (1, ∞) (D) (0, ∞)

Q.62 What is the chance that a leap year, selected at random, will contain 53 Saturdays?
(A) 2/7 (B) 3/7 (C) 1/7 (D) 5/7

Q.63 Find the sum to n terms of the series 10+84+ 734 + .....

(A)
(
9 9n + 1 ) +1
10
(B)
(
9 9n − 1 ) +1
8
(C)
(
9 9n −1 )+n
8
(D)
(
9 9 −1
n
)+ n 2

8
EC-C 17/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.64 Statement: There were different streams of freedom movements in colonial India carried out by the
moderates, liberals, radicals, socialists, and so on.
Which one of the following is the best inference from the above statement?
(A) The emergence of nationalism in colonial India led to our Independence.
(B) Nationalism in India emerged in the context of colonialism.
(C) Nationalism in India is homogeneous.
(D) Nationalism in India is heterogeneous.

Q.65 A car travels 8 km in the first quarter of an hour, 6 km in the second quarter and 16 km in the third
quarter. The average speed of the car in km per hour over the entire journey is
(A) 30 (B) 36 (C) 40 (D) 24

END OF THE QUESTION PAPER

EC-C 18/24
SESSION - 4
2013 Question Booklet Code
D
EC : ELECTRONICS AND COMMUNICATION ENGINEERING
Duration: Three Hours Maximum Marks: 100

Read the following instructions carefully.

1. Do not open the seal of the Question Booklet until you are asked to do so by the invigilator.
2. Take out the Optical Response Sheet (ORS) from this Question Booklet without breaking the seal
and read the instructions printed on the ORS carefully. If you find that either:
a. The Question Booklet Code printed at the right hand top corner of this page does not match with
the Question Booklet Code at the right hand top corner of the ORS or
b. The Question Paper Code preceding the Registration number on the ORS is not EC,
then exchange the booklet immediately with a new sealed Question Booklet.
3. On the right hand side of the ORS, using ONLY a black ink ballpoint pen, (i) darken the appropriate
bubble under each digit of your registration number and (ii) write your registration number, your
name and name of the examination centre and put your signature at the specified location.
4. This Question Booklet contains 24 pages including blank pages for rough work. After you are
permitted to open the seal, check all pages and report discrepancies, if any, to the invigilator.
5. There are a total of 65 questions carrying 100 marks. All these questions are of objective type. Each
question has only one correct answer. Questions must be answered on the left hand side of the ORS
by darkening the appropriate bubble (marked A, B, C, D) using ONLY a black ink ballpoint pen
against the question number. For each question darken the bubble of the correct answer. More
than one answer bubbled against a question will be treated as an incorrect response.
6. Since bubbles darkened by the black ink ballpoint pen cannot be erased, candidates should darken the
bubbles in the ORS very carefully.
7. Questions Q.1 – Q.25 carry 1 mark each. Questions Q.26 – Q.55 carry 2 marks each. The 2 marks
questions include two pairs of common data questions and two pairs of linked answer questions. The
answer to the second question of the linked answer questions depends on the answer to the first
question of the pair. If the first question in the linked pair is wrongly answered or is not attempted,
then the answer to the second question in the pair will not be evaluated.
8. Questions Q.56 – Q.65 belong to General Aptitude (GA) section and carry a total of 15 marks.
Questions Q.56 – Q.60 carry 1 mark each, and questions Q.61 – Q.65 carry 2 marks each.
9. Questions not attempted will result in zero mark and wrong answers will result in NEGATIVE
marks. For all 1 mark questions, ⅓ mark will be deducted for each wrong answer. For all 2 marks
questions, ⅔ mark will be deducted for each wrong answer. However, in the case of the linked
answer question pair, there will be negative marks only for wrong answer to the first question and no
negative marks for wrong answer to the second question.
10. Calculator is allowed whereas charts, graph sheets or tables are NOT allowed in the examination hall.
11. Rough work can be done on the Question Booklet itself. Blank pages are provided at the end of the
Question Booklet for rough work.
12. Before the start of the examination, write your name and registration number in the space provided
below using a black ink ballpoint pen.

Name

Registration Number EC

EC-D 1/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.1 to Q.25 carry one mark each.


− π t2
Q.1 Let g (t ) = e , and h (t ) is a filter matched to g (t ) . If g (t ) is applied as input to h (t ) , then
the Fourier transform of the output is

(C) e −π | f |
2 2

(A) e −π f (B) e−π f (D) e−2π f


2 /2

Q.2 The return loss of a device is found to be 20 dB. The voltage standing wave ratio (VSWR) and
magnitude of reflection coefficient are respectively
(A) 1.22 and 0.1 (B) 0.81 and 0.1 (C) − 1.22 and 0.1 (D) 2.44 and 0.2

Q.3 A source vs (t ) = V cos100 π t has an internal impedance of (4 + j3) Ω. If a purely resistive load
connected to this source has to extract the maximum power out of the source, its value in Ω should be
(A) 3 (B) 4 (C) 5 (D) 7

V2 ( s )
Q.4 The transfer function of the circuit shown below is
V1 ( s )

0.5 s + 1 3s + 6
(A) (B)
s +1 s+2
s+2 s +1
(C) (D)
s +1 s+2

Q.5 Assuming zero initial condition, the response y (t ) of the system given below to a unit step input
u (t ) is

2
(A) u (t ) (B) t u (t ) (C) t u (t )
2
(D) e − t u (t )

A polynomial f ( x) = a4 x + a3 x + a2 x + a1 x − a0 with all coefficients positive has


4 3 2
Q.6

(A) no real roots (B) no negative real root


(C) odd number of real roots (D) at least one positive and one negative real root
EC-D 2/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.7 The minimum eigenvalue of the following matrix is


 3 5 2
5 12 7 
 
 2 7 5 
(A) 0 (B) 1 (C) 2 (D) 3

Q.8 Which one of the following statements is NOT TRUE for a continuous time causal and stable
LTI system?
(A) All the poles of the system must lie on the left side of the jω axis.
(B) Zeros of the system can lie anywhere in the s-plane.
(C) All the poles must lie within s = 1 .
(D) All the roots of the characteristic equation must be located on the left side of the jω axis.

Q.9 In a MOSFET operating in the saturation region, the channel length modulation effect causes
(A) an increase in the gate-source capacitance
(B) a decrease in the transconductance
(C) a decrease in the unity-gain cutoff frequency
(D) a decrease in the output resistance

Q.10 A band-limited signal with a maximum frequency of 5 kHz is to be sampled. According to the
sampling theorem, the sampling frequency which is not valid is
(A) 5 kHz (B) 12 kHz (C) 15 kHz (D) 20 kHz

Q.11 In a voltage-voltage feedback as shown below, which one of the following statements is TRUE if
the gain k is increased?

(A) The input impedance increases and output impedance decreases.


(B) The input impedance increases and output impedance also increases.
(C) The input impedance decreases and output impedance also decreases.
(D) The input impedance decreases and output impedance increases.
EC-D 3/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.12 For a periodic signal v (t ) = 30 sin 100 t + 10 cos 300 t + 6 sin (500 t + π / 4) , the fundamental frequency in
rad/s is
(A) 100 (B) 300 (C) 500 (D) 1500

Q.13 For 8085 microprocessor, the following program is executed.

MVI A, 05H;
MVI B, 05H;
PTR: ADD B;
DCR B;
JNZ PTR;
ADI 03H;
HLT;

At the end of program, accumulator contains


(A) 17H (B) 20H (C) 23H (D) 05H

Q.14 The bit rate of a digital communication system is R kbits/s. The modulation used is 32-QAM. The
minimum bandwidth required for ISI free transmission is
(A) R/10 Hz (B) R/10 kHz (C) R/5 Hz (D) R/5 kHz

Q.15 Consider a delta connection of resistors and its equivalent star connection as shown below. If all
elements of the delta connection are scaled by a factor k, k> 0, the elements of the corresponding
star equivalent will be scaled by a factor of

Ra RC RB
Rb Rc
RA

(A) k2 (B) k (C) 1/k (D) k

Q.16 In the circuit shown below what is the output voltage (Vout) if a silicon transistor Q and an ideal
op-amp are used?

+15 V Q
1 kΩ

+ Vout
5V
– 15 V

(A) 15 V (B) 0.7 V (C) 0.7 V (D) 15 V


EC-D 4/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.17 The Bode plot of a transfer function G (s ) is shown in the figure below.

Gain (dB)

The gain (20 log G ( s) ) is 32 dB and −8 dB at 1 rad/s and 10 rad/s respectively. The phase is
negative for all ω. Then G (s) is

39.8 39.8 32 32
(A) (B) (C) (D)
s s 2 s s2

Q.18 The impulse response of a system is h(t ) = t u (t ) . For an input u (t − 1) , the output is

t2 t (t −1) (t −1) 2 t 2 −1
(A) u (t ) (B) u (t −1) (C) u (t −1) (D) u (t −1)
2 2 2 2

r
Q.19 The divergence of the vector field A = xaˆ x + yaˆ y + zaˆ z is

(A) 0 (B) 1/3 (C) 1 (D) 3

Q.20 The maximum value of θ until which the approximation sin θ ≈ θ holds to within 10% error is
(A) 10° (B) 18° (C) 50° (D) 90°

Q.21 In IC technology, dry oxidation (using dry oxygen) as compared to wet oxidation (using steam or
water vapor) produces
(A) superior quality oxide with a higher growth rate
(B) inferior quality oxide with a higher growth rate
(C) inferior quality oxide with a lower growth rate
(D) superior quality oxide with a lower growth rate

EC-D 5/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.22 In a forward biased pn junction diode, the sequence of events that best describes the mechanism of
current flow is
(A) injection, and subsequent diffusion and recombination of minority carriers
(B) injection, and subsequent drift and generation of minority carriers
(C) extraction, and subsequent diffusion and generation of minority carriers
(D) extraction, and subsequent drift and recombination of minority carriers

Q.23 Two systems with impulse responses h1 (t ) and h2 (t ) are connected in cascade. Then the overall
impulse response of the cascaded system is given by
(A) product of h1 (t ) and h2 (t )
(B) sum of h1 (t ) and h2 (t )
(C) convolution of h1 (t ) and h2 (t )
(D) subtraction of h2 (t ) from h1 (t )

Q.24 A bulb in a staircase has two switches, one switch being at the ground floor and the other one at the
first floor. The bulb can be turned ON and also can be turned OFF by any one of the switches
irrespective of the state of the other switch. The logic of switching of the bulb resembles
(A) an AND gate (B) an OR gate (C) an XOR gate (D) a NAND gate

r r r r
Q.25 Consider a vector field A( r ) . The closed loop line integral ∫ A • dl can be expressed as
r r
(A) ∫∫ (∇× A ) • ds over the closed surface bounded by the loop
r
(B) ∫∫∫ r )dv over the closed volume bounded by the loop
(∇ • A
(C) ∫∫∫ (∇ •rA)dv over the open volume bounded by the loop
r
(D) ∫∫ (∇× A) • ds over the open surface bounded by the loop

EC-D 6/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.26 to Q.55 carry two marks each.


Q.26 Let U and V be two independent and identically distributed random variables such that
1
P(U = +1) = P(U = −1) = . The entropy H (U + V ) in bits is
2
(A) 3/4 (B) 1 (C) 3/2 (D) log23

Q.27 In the circuit shown below, the silicon npn transistor Q has a very high value of β . The required
value of R2 in kΩ to produce IC = 1 mA is
VCC
3V

IC
R1
60 kΩ

R2
RE
500 Ω

(A) 20 (B) 30 (C) 40 (D) 50

EC-D 7/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.28 There are four chips each of 1024 bytes connected to a 16 bit address bus as shown in the figure
below. RAMs 1, 2, 3 and 4 respectively are mapped to addresses

(A) 0C00H-0FFFH, 1C00H-1FFFH, 2C00H-2FFFH, 3C00H-3FFFH


(B) 1800H-1FFFH, 2800H-2FFFH, 3800H-3FFFH, 4800H-4FFFH
(C) 0500H-08FFH, 1500H-18FFH, 3500H-38FFH, 5500H-58FFH
(D) 0800H-0BFFH, 1800H-1BFFH, 2800H-2BFFH, 3800H-3BFFH

Q.29 Three capacitors C1, C2 and C3 whose values are 10µF, 5µF, and 2µF respectively, have breakdown
voltages of 10V, 5V, and 2V respectively. For the interconnection shown below, the maximum safe
voltage in Volts that can be applied across the combination, and the corresponding total charge
in µC stored in the effective capacitance across the terminals are respectively,
C2 C3

C1

(A) 2.8 and 36 (B) 7 and 119


(C) 2.8 and 32 (D) 7 and 80

EC-D 8/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.30 A voltage 1000 sin ω t Volts is applied across YZ. Assuming ideal diodes, the voltage measured
across WX in Volts, is

1kΩ
W Y X
Z

+ 1kΩ _

(A) sin ω t (B) (sin ω t + sin ω t ) / 2


(C) (sin ω t − sin ω t ) / 2 (D) 0 for all t

Q.31 In the circuit shown below, Q1 has negligible collector-to-emitter saturation voltage and the diode
drops negligible voltage across it under forward bias. If Vcc is +5 V, X and Y are digital signals
with 0 V as logic 0 and Vcc as logic 1, then the Boolean expression for Z is

(A) X Y (B) X Y (C) X Y (D) XY

Q.32 In the circuit shown below the op-amps are ideal. Then Vout in Volts is

(A) 4 (B) 6 (C) 8 (D) 10


EC-D 9/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Y (s)
Q.33 The signal flow graph for a system is given below. The transfer function for this system is
U ( s)

U(s) 1 s-1 s-1 1 Y(s)

–4

–2

s +1 s +1
(A) (B)
5s + 6s + 2
2
s + 6s + 2
2

s +1 1
(C) (D)
s2 + 4 s + 2 5s2 + 6s + 2

Q.34 The DFT of a vector [ a b c d ] is the vector [α β γ δ ] . Consider the product


a b c d
d a b c 
[p q r s] = [a b c d ] 
c
.
d a b
 
b c d a
The DFT of the vector [ p q r s ] is a scaled version of

(A) α β 2 γ 2 δ 2  (B)  α β γ δ 


2

(C) [α + β β + δ δ + γ γ + α ] (D) [α β γ δ]

Q.35 Consider two identically distributed zero-mean random variables U and V . Let the cumulative
distribution functions of U and 2V be F ( x ) and G ( x ) respectively. Then, for all values of x

(A) F ( x ) − G ( x ) ≤ 0 (B) F ( x ) − G ( x ) ≥ 0
(C) ( F ( x ) − G ( x )) ⋅ x ≤ 0 (D) ( F ( x ) − G ( x )) ⋅ x ≥ 0

Q.36 A system described by a linear, constant coefficient, ordinary, first order differential equation has
an exact solution given by y (t ) for t > 0 , when the forcing function is x(t ) and the initial
condition is y (0) . If one wishes to modify the system so that the solution becomes
−2 y(t ) for t > 0 , we need to
(A) change the initial condition to − y(0) and the forcing function to 2 x(t )
(B) change the initial condition to 2 y (0) and the forcing function to − x(t )
(C) change the initial condition to j 2 y (0) and the forcing function to j 2 x ( t )
(D) change the initial condition to −2 y (0) and the forcing function to −2 x(t )
EC-D 10/24
2013 ELECTRONICS & COMMN. ENGG. - EC

d2y dy
Q.37 A system is described by the differential equation 2
+ 5 + 6 y (t ) = x(t ) .
dt dt

Let x(t) be a rectangular pulse given by


1 0 < t < 2
x (t ) = 
0 otherwise
dy
Assuming that y(0) = 0 and = 0 at t = 0 , the Laplace transform of y ( t ) is
dt
e−2 s 1 − e−2 s
(A) (B)
s ( s + 2)( s + 3) s ( s + 2)( s + 3)
e−2s 1 − e−2 s
(C) (D)
(s + 2)(s + 3) (s + 2)(s + 3)

Q.38 The ac schematic of an NMOS common-source stage is shown in the figure below, where part of
the biasing circuits has been omitted for simplicity. For the n -channel MOSFET M, the
transconductance gm = 1 mA/V, and body effect and channel length modulation effect are to be
neglected. The lower cutoff frequency in Hz of the circuit is approximately at

RD C
10 kΩ 1 µF
v0

vi
M
RL
10 kΩ

(A) 8 (B) 32 (C) 50 (D) 200

EC-D 11/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.39 The small-signal resistance (i.e., dVB/dID) in kΩ offered by the n-channel MOSFET M shown in the
figure below, at a bias point of VB = 2 V is (device data for M: device transconductance parameter
′ (W L ) = 40 µA/V2, threshold voltage VTN = 1 V, and neglect body effect and channel
kN = µn Cox
length modulation effects)
VB
ID

(A) 12.5 (B) 25 (C) 50 (D) 100

Q.40 The impulse response of a continuous time system is given by h (t ) = δ (t − 1) + δ (t − 3) . The value
of the step response at t = 2 is
(A) 0 (B) 1 (C) 2 (D) 3

Q.41 Two magnetically uncoupled inductive coils have Q factors q1 and q2 at the chosen operating
frequency. Their respective resistances are R1 and R2. When connected in series, their effective
Q factor at the same operating frequency is
(A) q1 + q2 (B) (1/ q1 ) + (1/ q2 )
(C) ( q1 R1 + q2 R2 ) / ( R1 + R2 ) (D) ( q1 R2 + q2 R1 ) / ( R1 + R2 )

Q.42 The following arrangement consists of an ideal transformer and an attenuator which attenuates
by a factor of 0.8. An ac voltage VWX 1 = 100V is applied across WX to get an open circuit voltage
VYZ 1 across YZ. Next, an ac voltage VYZ 2 = 100V is applied across YZ to get an open circuit
voltage VWX 2 across WX. Then, VYZ 1 / VWX 1 , VWX 2 / VYZ 2 are respectively,
W
1:1.25
Y

X Z

(A) 125/100 and 80/100 (B) 100/100 and 80/100


(C) 100/100 and 100/100 (D) 80/100 and 80/100

EC-D 12/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.43 In the circuit shown below, the knee current of the ideal Zener diode is 10 mA. To maintain 5 V
across RL, the minimum value of RL in Ω and the minimum power rating of the Zener diode in mW,
respectively, are

(A) 125 and 125


(B) 125 and 250
(C) 250 and 125
(D) 250 and 250

ω (s) 10
Q.44 The open-loop transfer function of a dc motor is given as = . When connected in
Va ( s) 1 + 10 s
feedback as shown below, the approximate value of K a that will reduce the time constant of the
closed loop system by one hundred times as compared to that of the open-loop system is

(A) 1 (B) 5 (C) 10 (D) 100

Q.45 In the circuit shown below, if the source voltage VS = 100∠53.13° V then the Thevenin’s equivalent
voltage in Volts as seen by the load resistance RL is

3Ω j4 Ω j6 Ω 5Ω

VL1

VS j40I2 10VL1 RL=10 Ω

I1 I2

(A) 100∠90° (B) 800∠0° (C) 800∠90° (D) 100∠60°


EC-D 13/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.46 Let A be an m × n matrix and B an n × m matrix. It is given that determinant ( I m + AB ) =


determinant ( I n +BA) , where I k is the k × k identity matrix. Using the above property, the
determinant of the matrix given below is
2 1 1 1
1 2 1 1 

1 1 2 1
 
1 1 1 2
(A) 2 (B) 5 (C) 8 (D) 16

1 1
Q.47 Let U and V be two independent zero mean Gaussian random variables of variances and
4 9
respectively. The probability P (3V ≥ 2U ) is

(A) 4/9 (B) 1/2 (C) 2/3 (D) 5/9

Common Data Questions


Common Data for Questions 48 and 49:

Consider the following figure

IS
1Ω
+ 5Ω
VS
10V 2Ω

- 2A

Q.48 The current in the 1Ω resistor in Amps is


(A) 2 (B) 3.33 (C) 10 (D) 12

Q.49 The current IS in Amps in the voltage source, and voltage VS in Volts across the current source
respectively, are
(A) 13, − 20 (B) 8, − 10 (C) − 8, 20 (D) − 13, 20

EC-D 14/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Common Data for Questions 50 and 51:


Bits 1 and 0 are transmitted with equal probability. At the receiver, the pdf of the respective received
signals for both bits are as shown below.

pdf of received
1
signal for bit 0

0.5 pdf of received


signal for bit 1

-1 0 1 2 4

Q.50 The optimum threshold to achieve minimum bit error rate (BER) is
1 4 3
(A) (B) (C) 1 (D)
2 5 2
Q.51 If the detection threshold is 1, the BER will be

1 1 1 1
(A) (B) (C) (D)
2 4 8 16
Linked Answer Questions
Statement for Linked Answer Questions 52 and 53:
A monochromatic plane wave of wavelength λ = 600 µ m is propagating in the direction as shown in the
r r r
figure below. Ei , Er , and Et denote incident, reflected, and transmitted electric field vectors associated
with the wave.
E E
i r kr
Hi
Hr
ki θi θ r
ε r = 1.0
0 ε r = 4.5 x
Ht E
0 t
19.2
kt

r
Q.52 The angle of incidence θ i and the expression for Ei are
π ×104 ( x + z )
E −j
(A) 60 and 0 ( aˆ x − aˆ z ) e
0 3 2
V/m
2
π ×10 z 4
E0 −j
(B) 450 and ( aˆ x + aˆ z ) e 3 V/m
2
π ×104 ( x + z )
E0 −j
(C) 45 and0
( aˆ x − aˆ z ) e V/m 3 2

2
π ×10 z 4
E −j
(D) 60 0 and 0 ( aˆ x − aˆ z ) e 3
V/m
2
EC-D 15/24
2013 ELECTRONICS & COMMN. ENGG. - EC

r
Q.53 The expression for Er is

π ×104 ( x − z )
E0 −j
(A) 0.23 ( aˆx + aˆz ) e 3 2
V/m
2
π ×10 z 4
E
(B) − 0 ( aˆ x + aˆ z ) e 3 V/m
j

2
π ×104 ( x − z )
E0 −j
(C) 0.44 ( aˆ x + aˆz ) e 3 2
V/m
2
π ×10 ( x + z )4
E −j
(D) 0 ( aˆ x + aˆ z ) e 3
V/m
2

Statement for Linked Answer Questions 54 and 55:

The state diagram of a system is shown below. A system is described by the state-variable equations

X =AX+B u ; y = CX + D u

1 -1 1 -1 1
u y

1 1
s s

Q.54 The state-variable equations of the system shown in the figure above are
•  −1 0   −1 •  −1 0   −1
X=  X+  u X=  X+  u
(A)  1 −1 1 (B)  −1 −1 1
y = [1 −1] X + u y = [ −1 −1] X + u

•  −1 0   −1 •  −1 −1  −1


X=  X+  u X=  X+  u
(C)  −1 −1 1 (D)  0 −1 1
y = [ −1 −1] X − u y = [1 −1] X − u

Q.55 The state transition matrix eAt of the system shown in the figure above is
 e −t 0  e−t 0 e−t 0 e −t −te − t 
(A)  −t  (B)   (C)   (D)  
te e −t   −te
−t
e−t  e
−t
e −t  0 e−t 

EC-D 16/24
2013 ELECTRONICS & COMMN. ENGG. - EC

General Aptitude (GA) Questions

Q.56 to Q.60 carry one mark each.

Q.56 They were requested not to quarrel with others.


Which one of the following options is the closest in meaning to the word quarrel?
(A) make out (B) call out (C) dig out (D) fall out

Q.57 In the summer of 2012, in New Delhi, the mean temperature of Monday to Wednesday was 41°C
and of Tuesday to Thursday was 43°C. If the temperature on Thursday was 15% higher than that of
Monday, then the temperature in °C on Thursday was
(A) 40 (B) 43 (C) 46 (D) 49

Q.58 Complete the sentence:


Dare _______________ mistakes.
(A) commit (B) to commit (C) committed (D) committing

Q.59 Choose the grammatically CORRECT sentence:


(A) Two and two add four.
(B) Two and two become four.
(C) Two and two are four.
(D) Two and two make four.

Q.60 Statement: You can always give me a ring whenever you need.
Which one of the following is the best inference from the above statement?
(A) Because I have a nice caller tune.
(B) Because I have a better telephone facility.
(C) Because a friend in need is a friend indeed.
(D) Because you need not pay towards the telephone bills when you give me a ring.

Q.61 to Q.65 carry two marks each.


Q.61 What is the chance that a leap year, selected at random, will contain 53 Saturdays?
(A) 2/7 (B) 3/7 (C) 1/7 (D) 5/7

Q.62 Statement: There were different streams of freedom movements in colonial India carried out by the
moderates, liberals, radicals, socialists, and so on.
Which one of the following is the best inference from the above statement?
(A) The emergence of nationalism in colonial India led to our Independence.
(B) Nationalism in India emerged in the context of colonialism.
(C) Nationalism in India is homogeneous.
(D) Nationalism in India is heterogeneous.

EC-D 17/24
2013 ELECTRONICS & COMMN. ENGG. - EC

Q.63 The set of values of p for which the roots of the equation 3x2+2x+p(p–1) = 0 are of opposite sign is
(A) (–∞, 0) (B) (0, 1) (C) (1, ∞) (D) (0, ∞)

Q.64 A car travels 8 km in the first quarter of an hour, 6 km in the second quarter and 16 km in the third
quarter. The average speed of the car in km per hour over the entire journey is
(A) 30 (B) 36 (C) 40 (D) 24

Q.65 Find the sum to n terms of the series 10+84+ 734 + .....

(A)
(
9 9n + 1 ) +1
10
(B)
(
9 9n − 1 ) +1
8
(C)
(
9 9 −1
n
)+n
8
(D)
(
9 9 −1
n
)+ n 2

END OF THE QUESTION PAPER

EC-D 18/24
SESSION - 1
GATE 2014: General Instructions during Examination

1. Total duration of the GATE examination is 180 minutes.


2. The clock will be set at the server. The countdown timer at the top right corner of
screen will display the remaining time available for you to complete the examination.
When the timer reaches zero, the examination will end by itself. You need not
terminate the examination or submit your paper.
3. Any useful data required for your paper can be viewed by clicking on the Useful
Common Data button that appears on the screen.
4. Use the scribble pad provided to you for any rough work. Submit the scribble pad at
the end of the examination.
5. You are allowed to use a non-programmable type calculator, however, sharing of
calculators is not allowed.
6. The Question Palette displayed on the right side of screen will show the status of
each question using one of the following symbols:

The Marked for Review status for a question simply indicates that you would like to look at
that question again. If a question is answered, but marked for review, then the answer will
be considered for evaluation unless the status is modified by the candidate.

Navigating to a Question :

7. To answer a question, do the following:


a. Click on the question number in the Question Palette to go to that question
directly.
b. Select an answer for a multiple choice type question by clicking on the bubble
placed before the 4 choices, namely A, B, C and D. Use the virtual numeric
keypad to enter a number as answer for a numerical type question.
c. Click on Save & Next to save your answer for the current question and then go
to the next question.
d. Click on Mark for Review & Next to save your answer for the current question
and also mark it for review, and then go to the next question.
Caution: Note that your answer for the current question will not be saved, if you navigate
to another question directly by clicking on a question number without saving the answer to
the previous question.

You can view all the questions by clicking on the Question Paper button. This feature is
provided, so that if you want you can just see the entire question paper at a glance.

Answering a Question :

8. Procedure for answering a multiple choice (MCQ) type question:


a. Choose one answer from the 4 options (A,B,C,D) given below the question,
click on the bubble placed before the chosen option.
b. To deselect your chosen answer, click on the bubble of the chosen option again
or click on the Clear Response button.
c. To change your chosen answer, click on the bubble of another option.
d. To save your answer, you MUST click on the Save & Next button.

9. Procedure for answering a numerical answer type question:


a. To enter a number as your answer, use the virtual numerical keypad.
b. A fraction (e.g. -0.3 or -.3) can be entered as an answer with or without '0'
before the decimal point. As many as four decimal points, e.g. 12.5435 or
0.003 or -932.6711 or 12.82 can be entered.
c. To clear your answer, click on the Clear Response button.
d. To save your answer, you MUST click on the Save & Next button
10. To mark a question for review, click on the Mark for Review & Next button. If an
answer is selected (for MCQ) or entered (for numerical answer type) for a question
that is Marked for Review, that answer will be considered in the evaluation unless
the status is modified by the candidate.

11. To change your answer to a question that has already been answered, first select
that question for answering and then follow the procedure for answering that type of
question.
12. Note that ONLY Questions for which answers are saved or marked for review after
answering will be considered for evaluation.

Choosing a Section :

13. Sections in this question paper are displayed on the top bar of the screen. Questions
in a Section can be viewed by clicking on the name of that Section. The Section you
are currently viewing will be highlighted.
14. A checkbox is displayed for every optional Section, if any, in the Question Paper. To
select the optional Section for answering, click on the checkbox for that Section.
15. If the checkbox for an optional Section is not selected, the Save & Next button and
the Mark for Review & Next button will NOT be enabled for that Section. You will
only be able to see questions in this Section, but you will not be able to answer
questions in the Section.
16. After clicking the Save & Next button for the last question in a Section, you will
automatically be taken to the first question of the next Section in sequence.
17. You can move the mouse cursor over the name of a Section to view the answering
status for that Section.

Changing the Optional Section :

18. After answering the chosen optional Section, partially or completely, you can change
the optional Section by selecting the checkbox for a new Section that you want to
attempt. A warning message will appear along with a table showing the number of
questions answered in each of the previously chosen optional Sections and a
checkbox against each of these Sections. Click on a checkbox against a Section that
you want to reset and then click on the RESET button. Note that RESETTING a Section
will DELETE all the answers for questions in that Section. Hence, if you think that you
may want to select this Section again later, you will have to note down your answers
for questions in that Section. If you do not want to reset the Section and want to
continue answering the previously chosen optional Section, then click on the BACK
button.

19. If you deselect the checkbox for an optional Section in the top bar, the following
warning message will appear: "Deselecting the checkbox will DELETE all the answers
for questions in this Section. Do you want to deselect this Section?” If you want to
deselect, click on the RESET button. If you do not want to deselect, click on the BACK
button.

20. You can shuffle between different Sections or change the optional Sections any
number of times.
GATE 2014 Examination
EC: Electronics & Communications Engineering
Duration: 180 minutes Maximum Marks: 100

Read the following instructions carefully.

1. To login, enter your Registration Number and password provided to you. Kindly go through the various
symbols used in the test and understand their meaning before you start the examination.
2. Once you login and after the start of the examination, you can view all the questions in the question
paper, by clicking on the View All Questions button in the screen.
3. This question paper consists of 2 sections, General Aptitude (GA) for 15 marks and the subject
specific GATE paper for 85 marks. Both these sections are compulsory.
The GA section consists of 10 questions. Question numbers 1 to 5 are of 1-mark each, while question
numbers 6 to 10 are of 2-mark each.
The subject specific GATE paper section consists of 55 questions, out of which question numbers 1 to
25 are of 1-mark each, while question numbers 26 to 55 are of 2-mark each.
4. Depending upon the GATE paper, there may be useful common data that may be required for
answering the questions. If the paper has such useful data, the same can be viewed by clicking on the
Useful Common Data button that appears at the top, right hand side of the screen.
5. The computer allotted to you at the examination center runs specialized software that permits only one
answer to be selected for multiple-choice questions using a mouse and to enter a suitable number for
the numerical answer type questions using the virtual keyboard and mouse.
6. Your answers shall be updated and saved on a server periodically and also at the end of the
examination. The examination will stop automatically at the end of 180 minutes.
7. In each paper a candidate can answer a total of 65 questions carrying 100 marks.
8. The question paper may consist of questions of multiple choice type (MCQ) and numerical answer
type.
9. Multiple choice type questions will have four choices against A, B, C, D, out of which only ONE is the
correct answer. The candidate has to choose the correct answer by clicking on the bubble (⃝) placed
before the choice.
10. For numerical answer type questions, each question will have a numerical answer and there will not be
any choices. For these questions, the answer should be enteredby using the virtual keyboard that
appears on the monitor and the mouse.
11. All questions that are not attempted will result in zero marks. However, wrong answers for multiple
choice type questions (MCQ) will result in NEGATIVE marks. For all MCQ questions a wrong
answer will result in deduction of⅓ marks for a 1-mark question and ⅔ marks for a 2-mark question.
12. There is NO NEGATIVE MARKING for questions of NUMERICAL ANSWER TYPE.
13. Non-programmable type Calculator is allowed. Charts, graph sheets, and mathematical tables are NOT
allowed in the Examination Hall. You must use the Scribble pad provided to you at the examination
centre for all your rough work. The Scribble Pad has to be returned at the end of the examination.

Declaration by the candidate:

“I have read and understood all the above instructions. I have also read and understood clearly the
instructions given on the admit card and shall follow the same. I also understand that in case I am found to
violate any of these instructions, my candidature is liable to be cancelled. I also confirm that at the start of
the examination all the computer hardware allotted to me are in proper working condition”.
GATE 2014 SET- 3 General Aptitude -GA

Q. 1 – Q. 5 carry one mark each.


Q.1 Choose the most appropriate phrase from the options given below to complete the following
sentence.

The aircraft take off as soon as its flight plan was filed.
(A) is allowed to (B) will be allowed to
(C) was allowed to (D) has been allowed to

Q.2 Read the statements:

All women are entrepreneurs.


Some women are doctors.

)
14
Which of the following conclusions can be logically inferred from the above statements?
(A) All women are doctors (B) All doctors are entrepreneurs
(C) All entrepreneurs are women (D) Some entrepreneurs are doctors

20
Q.3 Choose the most appropriate word from the options given below to complete the following
sentence.

Many ancient cultures attributed disease to supernatural causes. However, modern science has
largely helped _________ such notions.
(A) impel (B) dispel
E (C) propel (D) repel
AT
Q.4 The statistics of runs scored in a series by four batsmen are provided in the following table. Who is
the most consistent batsman of these four?

Batsman Average Standard deviation


(G

K 31.2 5.21
L 46.0 6.35
M 54.4 6.22
N 17.9 5.90
(A) K (B) L (C) M (D) N
3

Q.5 What is the next number in the series?


A0

12 35 81 173 357 ____


G

Q. 6 – Q. 10 carry two marks each.

Q.6 Find the odd one from the following group:

W,E,K,O I,Q,W,A F,N,T,X N,V,B,D


(A) W,E,K,O (B) I,Q,W,A (C) F,N,T,X (D) N,V,B,D

GA 1/2
GATE 2014 SET- 3 General Aptitude -GA
Q.7 For submitting tax returns, all resident males with annual income below Rs 10 lakh should fill up
Form P and all resident females with income below Rs 8 lakh should fill up Form Q. All people
with incomes above Rs 10 lakh should fill up Form R, except non residents with income above Rs
15 lakhs, who should fill up Form S. All others should fill Form T. An example of a person who
should fill Form T is
(A) a resident male with annual income Rs 9 lakh
(B) a resident female with annual income Rs 9 lakh
(C) a non-resident male with annual income Rs 16 lakh
(D) a non-resident female with annual income Rs 16 lakh

Q.8 A train that is 280 metres long, travelling at a uniform speed, crosses a platform in 60 seconds and
passes a man standing on the platform in 20 seconds. What is the length of the platform in metres?

)
Q.9 The exports and imports (in crores of Rs.) of a country from 2000 to 2007 are given in the

14
following bar chart. If the trade deficit is defined as excess of imports over exports, in which year is
the trade deficit 1/5th of the exports?

120

20
110 Exports Imports
100
90
80
70
60
E
50
AT
40
30
20
10
(G

0
2000 2001 2002 2003 2004 2005 2006 2007

(A) 2005 (B) 2004 (C) 2007 (D) 2006


3

Q.10 You are given three coins: one has heads on both faces, the second has tails on both faces, and the
A0

third has a head on one face and a tail on the other. You choose a coin at random and toss it, and it
comes up heads. The probability that the other face is tails is
(A) 1/4 (B) 1/3 (C) 1/2 (D) 2/3
G

END OF THE QUESTION PAPER

GA 2/2
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q. 1 – Q. 25 carry one mark each.


Q.1 For matrices of same dimension M, N and scalar c, which one of these properties DOES NOT
ALWAYS hold?
(A)(𝑀𝑀𝑇𝑇 )𝑇𝑇 = 𝑀𝑀
(B) (𝑐𝑐𝑐𝑐)𝑇𝑇 = 𝑐𝑐(𝑀𝑀)𝑇𝑇
(C) (𝑀𝑀 + 𝑁𝑁)𝑇𝑇 = 𝑀𝑀𝑇𝑇 + 𝑁𝑁 𝑇𝑇
(D) 𝑀𝑀𝑀𝑀 = 𝑁𝑁𝑁𝑁

Q.2 In a housing society, half of the families have a single child per family, while the remaining half
have two children per family. The probability that a child picked at random, has a sibling is _____

)
Q.3 𝑧𝑧 2 −𝑧𝑧+4𝑗𝑗
𝐶𝐶 is a closed path in the 𝑧𝑧-plane given by |𝑧𝑧| = 3. The value of the integral ∮𝐶𝐶 � 𝑧𝑧+2𝑗𝑗
� 𝑑𝑑𝑑𝑑 is

14
(A) −4𝜋𝜋(1 + 𝑗𝑗2) (B) 4𝜋𝜋(3 − 𝑗𝑗2) (C) −4𝜋𝜋(3 + 𝑗𝑗2) (D) 4𝜋𝜋(1 − 𝑗𝑗2)

Q.4 A real (4 × 4) matrix 𝐴𝐴 satisfies the equation 𝐴𝐴2 = 𝐼𝐼, where 𝐼𝐼 is the (4 × 4) identity matrix. The

20
positive eigen value of 𝐴𝐴 is _________.

Q.5 Let X1, X2, and X3 be independent and identically distributed random variables with the uniform
distribution on [0, 1]. The probability P{X1 is the largest} is ________
E
Q.6 For maximum power transfer between two cascaded sections of an electrical network, the
relationship between the output impedance Z1 of the first section to the input impedance Z2 of the
AT
second section is
(A) 𝑍𝑍2 = 𝑍𝑍1 (B) 𝑍𝑍2 = −𝑍𝑍1 (C) 𝑍𝑍2 = 𝑍𝑍1∗ (D) 𝑍𝑍2 = −𝑍𝑍1∗

Q.7 Consider the configuration shown in the figure which is a portion of a larger electrical network
(G

i5
i2
01

R R
i3
i4 R

i1 i6
EC

For R = 1 Ω and currents 𝑖𝑖1 = 2 A, 𝑖𝑖4 = −1 A, 𝑖𝑖5 = −4 A, which one of the following is TRUE?
(A) 𝑖𝑖6 = 5 𝐴𝐴
(B) 𝑖𝑖3 = −4 𝐴𝐴
(C) Data is sufficient to conclude that the supposed currents are impossible
(D) Data is insufficient to identify the currents 𝑖𝑖2 , 𝑖𝑖3 , and 𝑖𝑖6

Q.8 When the optical power incident on a photodiode is 10µW and the responsivity is 0.8 A/W, the
photocurrent generated (in µA) is ________.

EC 1/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.9 In the figure, assume that the forward voltage drops of the PN diode D1 and Schottky diode D2 are
0.7 V and 0.3 V, respectively. If ON denotes conducting state of the diode and OFF denotes non-
conducting state of the diode, then in the circuit,
1 kΩ 20 Ω

10 V D1 D2

(A) both D1 and D2 are ON


(B) D1 is ON and D2 is OFF
(C) both D1 and D2 are OFF
(D) D1 is OFF and D2 is ON

)
14
Q.10 If fixed positive charges are present in the gate oxide of an n-channel enhancement type MOSFET,
it will lead to
(A) a decrease in the threshold voltage
(B) channel length modulation

20
(C) an increase in substrate leakage current
(D) an increase in accumulation capacitance

Q.11 A good current buffer has


(A) low input impedance and low output impedance
(B) low input impedance and high output impedance
E
(C) high input impedance and low output impedance
(D) high input impedance and high output impedance
AT
Q.12 In the ac equivalent circuit shown in the figure, if 𝑖𝑖𝑖𝑖𝑖𝑖 is the input current and 𝑅𝑅𝐹𝐹 is very large, the
type of feedback is
(G

RD
RD
01

vout
M2
M1
EC

small signal iin RF


input

(A) voltage-voltage feedback


(B) voltage-current feedback
(C) current-voltage feedback
(D) current-current feedback

EC 2/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.13 In the low-pass filter shown in the figure, for a cut-off frequency of 5 kHz , the value of R 2 (in kΩ)
is _____________.

Q.14 In the following circuit employing pass transistor logic, all NMOS transistors are identical with a

)
threshold voltage of 1 V. Ignoring the body-effect, the output voltages at P, Q and R are,

14
5V 5V 5V

5V

20
P Q R

(A) 4 V, 3 V, 2 V
(B) 5 V, 5 V, 5 V
(C)
(D)
4 V, 4 V, 4 V
5 V, 4 V, 3 V
E
AT
Q.15 The Boolean expression (X + Y) (X + � �����������
Y) + (X �
Y) + � X simplifies to
(A) X (B) Y (C) XY (D) X+Y

Q.16 Five JK flip-flops are cascaded to form the circuit shown in Figure. Clock pulses at a frequency of
(G

1 MHz are applied as shown. The frequency (in kHz) of the waveform at Q3 is __________ .
01

1 J4 1 J2 1 1
Q4 1 J3 Q3 Q2 J1 Q1 J0 Q0
clk clk clk clk clk
1 K4 1 K3 1 K2 1 K1 K0
1
EC

clock

Q.17 A discrete-time signal 𝑥𝑥[𝑛𝑛] = sin(𝜋𝜋 2 𝑛𝑛) , 𝑛𝑛 being an integer, is


(A) periodic with period 𝜋𝜋. (B) periodic with period 𝜋𝜋 2 .
(C) periodic with period 𝜋𝜋/2. (D) not periodic.

Q.18 Consider two real valued signals, 𝑥𝑥(𝑡𝑡) band-limited to [− 500 Hz, 500 Hz] and 𝑦𝑦(𝑡𝑡) band-limited
to [−1 kHz, 1 kHz]. For 𝑧𝑧(𝑡𝑡) = 𝑥𝑥(𝑡𝑡) ∙ 𝑦𝑦(𝑡𝑡), the Nyquist sampling frequency (in kHz) is ______.

EC 3/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.19 A continuous, linear time-invariant filter has an impulse response ℎ(𝑡𝑡) described by
3 for 0 ≤ 𝑡𝑡 ≤ 3
ℎ(𝑡𝑡) = �
0 otherwise

When a constant input of value 5 is applied to this filter, the steady state output is _____.

Q.20 The forward path transfer function of a unity negative feedback system is given by

𝐾𝐾
𝐺𝐺(𝑠𝑠) =
(𝑠𝑠 + 2)(𝑠𝑠 − 1)

The value of 𝐾𝐾 which will place both the poles of the closed-loop system at the same location,
is ______ .

)
14
Q.21 Consider the feedback system shown in the figure. The Nyquist plot of 𝐺𝐺(𝑠𝑠) is also shown. Which
one of the following conclusions is correct?

20
(A) 𝐺𝐺(𝑠𝑠) is an all-pass filter
E
(B) 𝐺𝐺(𝑠𝑠) is a strictly proper transfer function
AT
(C) 𝐺𝐺(𝑠𝑠) is a stable and minimum-phase transfer function
(D) The closed-loop system is unstable for sufficiently large and positive 𝑘𝑘

Q.22 In a code-division multiple access (CDMA) system with N = 8 chips, the maximum number of
users who can be assigned mutually orthogonal signature sequences is ________
(G

Q.23 The capacity of a Binary Symmetric Channel (BSC) with cross-over probability 0.5 is ________

Q.24  s11 s12 


A two-port network has scattering parameters given by [ S ] = 
01

s22 
. If the port-2 of the two-
 s21
port is short circuited, the s11 parameter for the resultant one-port network is

s11 − s11s22 + s12 s21 s11 + s11s22 − s12 s21


EC

(A) (B)
1 + s22 1 + s22
s +s s +s s s −s s +s s
(C) 11 11 22 12 21 (D) 11 11 22 12 21
1 − s22 1 − s22

Q.25 The force on a point charge +𝑞𝑞 kept at a distance 𝑑𝑑 from the surface of an infinite grounded metal
plate in a medium of permittivity 𝜖𝜖 is
(A) 0 𝑞𝑞 2
(B) 16𝜋𝜋𝜋𝜋 𝑑𝑑 2 away from the plate

𝑞𝑞 2 𝑞𝑞 2
(C) 16𝜋𝜋𝜋𝜋 𝑑𝑑 2 towards the plate (D) 4𝜋𝜋𝜋𝜋 𝑑𝑑 2 towards the plate

EC 4/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q. 26 – Q. 55 carry two marks each.

Q.26 The Taylor series expansion of 3 sin 𝑥𝑥 + 2 cos 𝑥𝑥 is


𝑥𝑥 3
(A) 2 + 3𝑥𝑥 − 𝑥𝑥 2 − + . . . ..
2

𝑥𝑥 3
(B) 2 − 3𝑥𝑥 + 𝑥𝑥 2 − 2
+ … ..

𝑥𝑥 3
(C) 2 + 3𝑥𝑥 + 𝑥𝑥 2 + 2
+ .....

𝑥𝑥 3
(D) 2 − 3𝑥𝑥 − 𝑥𝑥 2 + 2
+ .....

)
14
+∞ 2
Q.27 For a function 𝑔𝑔(𝑡𝑡), it is given that ∫−∞ 𝑔𝑔(𝑡𝑡)𝑒𝑒 −𝑗𝑗𝑗𝑗𝑗𝑗 𝑑𝑑𝑑𝑑 = 𝜔𝜔𝑒𝑒 −2𝜔𝜔 for any real value 𝜔𝜔.
𝑡𝑡 +∞
If 𝑦𝑦(𝑡𝑡) = ∫−∞ 𝑔𝑔(𝜏𝜏) 𝑑𝑑𝑑𝑑, then ∫−∞ 𝑦𝑦(𝑡𝑡)𝑑𝑑𝑑𝑑 is

20
(A) 0 (B) −𝑗𝑗 𝑗𝑗 𝑗𝑗
(C) − (D)
2 2

Q.28 The volume under the surface 𝑧𝑧(𝑥𝑥, 𝑦𝑦) = 𝑥𝑥 + 𝑦𝑦 and above the triangle in the x-y plane defined by
{0 ≤ 𝑦𝑦 ≤ 𝑥𝑥 and 0 ≤ 𝑥𝑥 ≤ 12} is _________.
E
Q.29 Consider the matrix
0 0 0 0 0 1
AT
⎡0 0 0 0 1 0⎤
⎢ ⎥
0 0 0 1 0 0⎥
𝐽𝐽6 = ⎢
⎢0 0 1 0 0 0⎥
⎢0 1 0 0 0 0⎥
⎣1 0 0 0 0 0⎦
(G

which is obtained by reversing the order of the columns of the identity matrix 𝐼𝐼6 .
Let 𝑃𝑃 = 𝐼𝐼6 + 𝛼𝛼 𝐽𝐽6 , where 𝛼𝛼 is a non-negative real number. The value of 𝛼𝛼 for which det(𝑃𝑃) = 0
is ________.
01

Q.30 A Y-network has resistances of 10Ω each in two of its arms, while the third arm has a resistance of
11Ω. In the equivalent ∆-network, the lowest value (in Ω) among the three resistances is ________.
EC

Q.31 A 230 V rms source supplies power to two loads connected in parallel. The first load draws 10 kW
at 0.8 leading power factor and the second one draws 10 kVA at 0.8 lagging power factor. The
complex power delivered by the source is
(A) (18 + j 1.5) kVA (B) (18 – j 1.5) kVA
(C) (20 + j 1.5) kVA (D) (20 – j 1.5) kVA

EC 5/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.32 A periodic variable x is shown in the figure as a function of time. The root-mean-square (rms) value
of x is _________.

Q.33 In the circuit shown in the figure, the value of capacitor C (in mF) needed to have critically damped
response i(t) is________.

)
40 Ω 4H C

14
+ -
i(t) Vo

20
Q.34 A BJT is biased in forward active mode. Assume VBE = 0.7 V, kT/q = 25 mV and reverse saturation
current IS = 10-13 A. The transconductance of the BJT (in mA/V) is __________.
E
Q.35 The doping concentrations on the p-side and n-side of a silicon diode are 1 × 1016 cm-3 and
1 × 1017 cm-3, respectively. A forward bias of 0.3 V is applied to the diode. At T = 300 K, the
AT
𝑘𝑘𝑘𝑘
intrinsic carrier concentration of silicon ni = 1.5 × 1010 cm-3 and 𝑞𝑞
= 26 mV. The electron
concentration at the edge of the depletion region on the p-side is
(A) 2.3 × 109 cm-3
(B) 1 × 1016 cm-3
(G

(C) 1 × 1017 cm-3


(D) 2.25 × 106 cm-3
01

Q.36 A depletion type N-channel MOSFET is biased in its linear region for use as a voltage controlled
resistor. Assume threshold voltage VTH = -0.5 V, VGS = 2.0 V, VDS = 5 V, W/L = 100,
Cox = 10-8 F/cm2 and µn = 800 cm2/V-s. The value of the resistance of the voltage controlled resistor
(in Ω) is __________.
EC

EC 6/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.37 In the voltage regulator circuit shown in the figure, the op-amp is ideal. The BJT has VBE = 0.7 V
and β = 100, and the zener voltage is 4.7 V. For a regulated output of 9 V, the value of R (in Ω)
is _______.

VI = 12 V VO = 9 V

+
1 kΩ 1 kΩ

VZ = 4.7 V R

)
14
Q.38 In the circuit shown, the op-amp has finite input impedance, infinite voltage gain and zero input
offset voltage. The output voltage Vout is

20
R2

R1
E
I1
AT
Vout
I2
(G

(A−𝐼𝐼2 (𝑅𝑅1 + 𝑅𝑅2 )


(B) 𝐼𝐼2 𝑅𝑅2
01

(C)) 𝐼𝐼1 𝑅𝑅2


(D) −𝐼𝐼1 (𝑅𝑅1 + 𝑅𝑅2 )
EC

EC 7/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.39 For the amplifier shown in the figure, the BJT parameters are VBE = 0.7 V, β = 200, and thermal
voltage VT = 25 mV. The voltage gain (vo/vi) of the amplifier is _______ .

VCC = +12 V

RC
R1 5 kΩ
33 kΩ
vo
1 µF
1 µF
vi •

R2
11 kΩ RS
10 Ω

)
14
RE CE
1 kΩ 1 mF

20
Q.40 The output 𝐹𝐹 in the digital logic circuit shown in the figure is

XOR
E
X
AND
AT
Y
F

Z
(G

XNOR

(A) 𝐹𝐹 = 𝑋𝑋�𝑌𝑌𝑌𝑌 + 𝑋𝑋𝑌𝑌�𝑍𝑍


(B) 𝐹𝐹 = 𝑋𝑋�𝑌𝑌𝑍𝑍̅ + 𝑋𝑋𝑌𝑌�𝑍𝑍̅
01

(C) 𝐹𝐹 = 𝑋𝑋�𝑌𝑌�𝑍𝑍 + 𝑋𝑋𝑋𝑋𝑋𝑋


(D) 𝐹𝐹 = 𝑋𝑋�𝑌𝑌�𝑍𝑍̅ + 𝑋𝑋𝑋𝑋𝑋𝑋
EC

Q.41 Consider the Boolean function, 𝐹𝐹(𝑤𝑤, 𝑥𝑥, 𝑦𝑦, 𝑧𝑧) = 𝑤𝑤𝑤𝑤 + 𝑥𝑥𝑥𝑥 + 𝑤𝑤
�𝑥𝑥𝑥𝑥𝑥𝑥 + 𝑤𝑤
�𝑥𝑥̅ 𝑦𝑦 + 𝑥𝑥𝑥𝑥 + 𝑥𝑥̅ 𝑦𝑦�𝑧𝑧̅. Which
one of the following is the complete set of essential prime implicants?
(A) 𝑤𝑤, 𝑦𝑦, 𝑥𝑥𝑥𝑥, 𝑥𝑥̅ 𝑧𝑧̅
(B) 𝑤𝑤, 𝑦𝑦, 𝑥𝑥𝑥𝑥
(C) 𝑦𝑦, 𝑥𝑥̅ 𝑦𝑦�𝑧𝑧̅
(D) 𝑦𝑦, 𝑥𝑥𝑥𝑥, 𝑥𝑥̅ 𝑧𝑧̅

EC 8/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.42 The digital logic shown in the figure satisfies the given state diagram when Q1 is connected to
input A of the XOR gate.

S=0

S=1
00 01
A
S=0
D1 Q1 S=1 S=1
D2 Q2
S=0
S 10 11
Q1 Q2 S=1
CLK

S=0

Suppose the XOR gate is replaced by an XNOR gate. Which one of the following options preserves

)
the state diagram?

14
����
(A) Input A is connected to 𝑄𝑄2
(B) Input A is connected to 𝑄𝑄2
(C) Input A is connected to ����
𝑄𝑄1 and S is complemented
����
(D) Input A is connected to 𝑄𝑄1

20
Q.43 1 𝑛𝑛 1 𝑛𝑛
Let 𝑥𝑥[𝑛𝑛] = �− � 𝑢𝑢(𝑛𝑛) − �− � 𝑢𝑢(−𝑛𝑛 − 1). The Region of Convergence (ROC) of the z-
9 3
transform of 𝑥𝑥[𝑛𝑛]
1
(A) is |𝑧𝑧| > 9 .
E 1
(B) is |𝑧𝑧| < 3 .
1 1
(C) is 3 > |𝑧𝑧| > 9 . (D) does not exist.
AT

Q.44 𝜋𝜋𝜋𝜋
Consider a discrete time periodic signal 𝑥𝑥[𝑛𝑛] = 𝑠𝑠𝑠𝑠𝑠𝑠 � 5 �. Let 𝑎𝑎𝑘𝑘 be the complex Fourier series
coefficients of 𝑥𝑥[𝑛𝑛]. The coefficients {𝑎𝑎𝑘𝑘 } are non-zero when 𝑘𝑘 = 𝐵𝐵𝐵𝐵 ± 1, where 𝑚𝑚 is any
integer. The value of 𝐵𝐵 is______.
(G

Q.45 A system is described by the following differential equation, where 𝑢𝑢(𝑡𝑡) is the input to the system
and 𝑦𝑦(𝑡𝑡) is the output of the system.
𝑦𝑦̇ (𝑡𝑡) + 5𝑦𝑦(𝑡𝑡) = 𝑢𝑢(𝑡𝑡)
01

When 𝑦𝑦(0) = 1 and 𝑢𝑢(𝑡𝑡) is a unit step function, 𝑦𝑦(𝑡𝑡) is


(A) 0.2 + 0.8𝑒𝑒 −5𝑡𝑡 (B) 0.2 − 0.2𝑒𝑒 −5𝑡𝑡 (C) 0.8 + 0.2𝑒𝑒 −5𝑡𝑡 (D) 0.8 − 0.8𝑒𝑒 −5𝑡𝑡
EC

Q.46 Consider the state space model of a system, as given below

𝑥𝑥̇ 1 −1 1 0 𝑥𝑥1 0 𝑥𝑥1


�𝑥𝑥̇ 2 � = � 0 −1 0 � �𝑥𝑥2 � + �4� 𝑢𝑢; 𝑦𝑦 = [1 1 1] �𝑥𝑥2 �.
𝑥𝑥̇ 3 0 0 −2 𝑥𝑥3 0 𝑥𝑥3

The system is
(A) controllable and observable
(B) uncontrollable and observable
(C) uncontrollable and unobservable
(D) controllable and unobservable

EC 9/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.47 10
The phase margin in degrees of 𝐺𝐺(𝑠𝑠) = (𝑠𝑠+0.1)(𝑠𝑠+1)(𝑠𝑠+10)
calculated using the asymptotic Bode
plot is ________.

1
Q.48 For the following feedback system 𝐺𝐺(𝑠𝑠) = (𝑠𝑠+1)(𝑠𝑠+2). The 2%-settling time of the step response is
required to be less than 2 seconds.

)
Which one of the following compensators 𝐶𝐶(𝑠𝑠) achieves this?

14
1 (B) 5(0.03 + 1)
(A 3� � 𝑠𝑠
𝑠𝑠+5
(C) 2(𝑠𝑠 + 4) 𝑠𝑠+8
(D) 4� �
𝑠𝑠+3

20
Q.49 Let 𝑋𝑋 be a real-valued random variable with 𝐸𝐸[𝑋𝑋] and 𝐸𝐸[𝑋𝑋 2 ] denoting the mean values of 𝑋𝑋 and
𝑋𝑋 2 , respectively. The relation which always holds true is

(A) (𝐸𝐸[𝑋𝑋])2 > 𝐸𝐸[𝑋𝑋 2 ]


(B) 𝐸𝐸[𝑋𝑋 2 ] ≥ (𝐸𝐸[𝑋𝑋])2
E
(C) 𝐸𝐸[𝑋𝑋 2 ] = (𝐸𝐸[𝑋𝑋])2
(D) 𝐸𝐸[𝑋𝑋 2 ] > (𝐸𝐸[𝑋𝑋])2
AT

Q.50 Consider a random process 𝑋𝑋(𝑡𝑡) = √2sin(2𝜋𝜋𝜋𝜋 + 𝜑𝜑), where the random phase 𝜑𝜑 is uniformly
distributed in the interval [0,2𝜋𝜋]. The auto-correlation 𝐸𝐸[𝑋𝑋(𝑡𝑡1 )𝑋𝑋(𝑡𝑡2 )] is
(G

(A) cos�2𝜋𝜋(𝑡𝑡1 + 𝑡𝑡2 )�

(B) sin�2𝜋𝜋(𝑡𝑡1 − 𝑡𝑡2 )�


01

(C) sin�2𝜋𝜋(𝑡𝑡1 + 𝑡𝑡2 )�

(D) cos�2𝜋𝜋(𝑡𝑡1 − 𝑡𝑡2 )�


EC

EC 10/11
GATE 2014 SET1 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.51 Let 𝑄𝑄(√𝛾𝛾) be the BER of a BPSK system over an AWGN channel with two-sided noise power
spectral density 𝑁𝑁0 ⁄2. The parameter 𝛾𝛾 is a function of bit energy and noise power spectral
density.

A system with two independent and identical AWGN channels with noise power spectral density
𝑁𝑁0 ⁄2 is shown in the figure. The BPSK demodulator receives the sum of outputs of both the
channels.

AWGN
Channel 1

0 /1 BPSK BPSK 0 /1
Modulator Demodulator

)
AWGN
Channel 2

14
If the BER of this system is 𝑄𝑄(𝑏𝑏√𝛾𝛾), then the value of 𝑏𝑏 is _____.

20
Q.52 A fair coin is tossed repeatedly until a ‘Head’ appears for the first time. Let L be the number of
tosses to get this first ‘Head’. The entropy H(L) in bits is ________.

Q.53 In spherical coordinates, let 𝑎𝑎�𝜃𝜃 , 𝑎𝑎�𝜙𝜙 denote unit vectors along the 𝜃𝜃, 𝜙𝜙 directions.
E
100
𝑬𝑬 = sin 𝜃𝜃 cos(𝜔𝜔𝜔𝜔 − 𝛽𝛽𝛽𝛽) 𝑎𝑎�𝜃𝜃 𝑉𝑉/𝑚𝑚
𝑟𝑟
and
AT
0.265
𝑯𝑯 = sin 𝜃𝜃 cos(𝜔𝜔𝜔𝜔 − 𝛽𝛽𝛽𝛽) 𝑎𝑎�𝜙𝜙 𝐴𝐴/𝑚𝑚
𝑟𝑟

represent the electric and magnetic field components of the EM wave at large distances 𝑟𝑟 from a
(G

dipole antenna, in free space. The average power (W) crossing the hemispherical shell located at
𝑟𝑟 = 1𝑘𝑘𝑘𝑘, 0 ≤ 𝜃𝜃 ≤ 𝜋𝜋/2 is ________

Q.54 For a parallel plate transmission line, let 𝑣𝑣 be the speed of propagation and 𝑍𝑍 be the characteristic
01

impedance. Neglecting fringe effects, a reduction of the spacing between the plates by a factor of
two results in
(A) halving of 𝑣𝑣 and no change in 𝑍𝑍
(B) no changes in 𝑣𝑣 and halving of 𝑍𝑍
EC

(C) no change in both 𝑣𝑣 and 𝑍𝑍


(D) halving of both 𝑣𝑣 and 𝑍𝑍

Q.55 λ
The input impedance of a section of a lossless transmission line of characteristic impedance
8
50 Ω is found to be real when the other end is terminated by a load Z L ( =R + jX )Ω . If X is
30Ω , the value of R ( in Ω ) is _______

END OF THE QUESTION PAPER

EC 11/11
GATE 2014
 Answer Keys for EC ‐ Electronics and Communication Engineering
SESSION ‐ 1 SESSION ‐ 1
Section Q. No. Section Q. No.
Key / Range Marks Key / Range Marks
GA 1 C 1 EC 24 B 1
GA 2 D 1 EC 25 C 1
GA 3 B 1 EC 26 A 2
GA 4 A 1 EC 27 B 2
GA 5 725 to 725 1 EC 28 862 to 866 2
GA 6 D 2 EC 29 0.99 to 1.01 2
GA 7 B 2 EC 30 29.08 to 29.10 2
GA 8 560 to 560 2 EC 31 B 2
GA 9 D 2 EC 32 0.39 to 0.42 2
GA 10 B 2 EC 33 9.99 to 10.01 2
EC 1 D 1 EC 34 5.7 to 5.9 2
EC 2 0.65 to 0.68 1 EC 35 A 2
EC 3 C 1 EC 36 499 to 501 2
EC 4 0.99 to 1.01 1 EC 37 1092 to 1094 2
EC 5 0.32 to 0.34 1 EC 38 C 2
EC 6 C 1 EC 39 ‐240 to ‐230 2
EC 7 A 1 EC 40 A 2
EC 8 7.99 to 8.01 1 EC 41 D 2
EC 9 D 1 EC 42 D 2
EC 10 A 1 EC 43 C 2
EC 11 B 1 EC 44 9.99 to 10.01 2
EC 12 B 1 EC 45 A 2
EC 13 3.1 to 3.26 1 EC 46 B 2
EC 14 C 1 EC 47 42 to 48 2
EC 15 A 1 EC 48 C 2
EC 16 62.4 to 62.6 1 EC 49 B 2
EC 17 D 1 EC 50 D 2
EC 18 2.99 to 3.01 1 EC 51 1.4 to 1.42 2
EC 19 44 to 46 1 EC 52 1.99 to 2.01 2
EC 20 2.24 to 2.26 1 EC 53 55.4 to 55.6 2
EC 21 D 1 EC 54 B 2
EC 22 7.99 to 8.01 1 EC 55 39 to 41 2
EC 23 ‐0.01 to 0.01 1
SESSION - 2
GATE 2014: General Instructions during Examination

1. Total duration of the GATE examination is 180 minutes.


2. The clock will be set at the server. The countdown timer at the top right corner of
screen will display the remaining time available for you to complete the examination.
When the timer reaches zero, the examination will end by itself. You need not
terminate the examination or submit your paper.
3. Any useful data required for your paper can be viewed by clicking on the Useful
Common Data button that appears on the screen.
4. Use the scribble pad provided to you for any rough work. Submit the scribble pad at
the end of the examination.
5. You are allowed to use a non-programmable type calculator, however, sharing of
calculators is not allowed.
6. The Question Palette displayed on the right side of screen will show the status of
each question using one of the following symbols:

The Marked for Review status for a question simply indicates that you would like to look at
that question again. If a question is answered, but marked for review, then the answer will
be considered for evaluation unless the status is modified by the candidate.

Navigating to a Question :

7. To answer a question, do the following:


a. Click on the question number in the Question Palette to go to that question
directly.
b. Select an answer for a multiple choice type question by clicking on the bubble
placed before the 4 choices, namely A, B, C and D. Use the virtual numeric
keypad to enter a number as answer for a numerical type question.
c. Click on Save & Next to save your answer for the current question and then go
to the next question.
d. Click on Mark for Review & Next to save your answer for the current question
and also mark it for review, and then go to the next question.
Caution: Note that your answer for the current question will not be saved, if you navigate
to another question directly by clicking on a question number without saving the answer to
the previous question.

You can view all the questions by clicking on the Question Paper button. This feature is
provided, so that if you want you can just see the entire question paper at a glance.

Answering a Question :

8. Procedure for answering a multiple choice (MCQ) type question:


a. Choose one answer from the 4 options (A,B,C,D) given below the question,
click on the bubble placed before the chosen option.
b. To deselect your chosen answer, click on the bubble of the chosen option again
or click on the Clear Response button.
c. To change your chosen answer, click on the bubble of another option.
d. To save your answer, you MUST click on the Save & Next button.

9. Procedure for answering a numerical answer type question:


a. To enter a number as your answer, use the virtual numerical keypad.
b. A fraction (e.g. -0.3 or -.3) can be entered as an answer with or without '0'
before the decimal point. As many as four decimal points, e.g. 12.5435 or
0.003 or -932.6711 or 12.82 can be entered.
c. To clear your answer, click on the Clear Response button.
d. To save your answer, you MUST click on the Save & Next button
10. To mark a question for review, click on the Mark for Review & Next button. If an
answer is selected (for MCQ) or entered (for numerical answer type) for a question
that is Marked for Review, that answer will be considered in the evaluation unless
the status is modified by the candidate.

11. To change your answer to a question that has already been answered, first select
that question for answering and then follow the procedure for answering that type of
question.
12. Note that ONLY Questions for which answers are saved or marked for review after
answering will be considered for evaluation.

Choosing a Section :

13. Sections in this question paper are displayed on the top bar of the screen. Questions
in a Section can be viewed by clicking on the name of that Section. The Section you
are currently viewing will be highlighted.
14. A checkbox is displayed for every optional Section, if any, in the Question Paper. To
select the optional Section for answering, click on the checkbox for that Section.
15. If the checkbox for an optional Section is not selected, the Save & Next button and
the Mark for Review & Next button will NOT be enabled for that Section. You will
only be able to see questions in this Section, but you will not be able to answer
questions in the Section.
16. After clicking the Save & Next button for the last question in a Section, you will
automatically be taken to the first question of the next Section in sequence.
17. You can move the mouse cursor over the name of a Section to view the answering
status for that Section.

Changing the Optional Section :

18. After answering the chosen optional Section, partially or completely, you can change
the optional Section by selecting the checkbox for a new Section that you want to
attempt. A warning message will appear along with a table showing the number of
questions answered in each of the previously chosen optional Sections and a
checkbox against each of these Sections. Click on a checkbox against a Section that
you want to reset and then click on the RESET button. Note that RESETTING a Section
will DELETE all the answers for questions in that Section. Hence, if you think that you
may want to select this Section again later, you will have to note down your answers
for questions in that Section. If you do not want to reset the Section and want to
continue answering the previously chosen optional Section, then click on the BACK
button.

19. If you deselect the checkbox for an optional Section in the top bar, the following
warning message will appear: "Deselecting the checkbox will DELETE all the answers
for questions in this Section. Do you want to deselect this Section?” If you want to
deselect, click on the RESET button. If you do not want to deselect, click on the BACK
button.

20. You can shuffle between different Sections or change the optional Sections any
number of times.
GATE 2014 Examination
EC: Electronics & Communications Engineering
Duration: 180 minutes Maximum Marks: 100

Read the following instructions carefully.

1. To login, enter your Registration Number and password provided to you. Kindly go through the various
symbols used in the test and understand their meaning before you start the examination.
2. Once you login and after the start of the examination, you can view all the questions in the question
paper, by clicking on the View All Questions button in the screen.
3. This question paper consists of 2 sections, General Aptitude (GA) for 15 marks and the subject
specific GATE paper for 85 marks. Both these sections are compulsory.
The GA section consists of 10 questions. Question numbers 1 to 5 are of 1-mark each, while question
numbers 6 to 10 are of 2-mark each.
The subject specific GATE paper section consists of 55 questions, out of which question numbers 1 to
25 are of 1-mark each, while question numbers 26 to 55 are of 2-mark each.
4. Depending upon the GATE paper, there may be useful common data that may be required for
answering the questions. If the paper has such useful data, the same can be viewed by clicking on the
Useful Common Data button that appears at the top, right hand side of the screen.
5. The computer allotted to you at the examination center runs specialized software that permits only one
answer to be selected for multiple-choice questions using a mouse and to enter a suitable number for
the numerical answer type questions using the virtual keyboard and mouse.
6. Your answers shall be updated and saved on a server periodically and also at the end of the
examination. The examination will stop automatically at the end of 180 minutes.
7. In each paper a candidate can answer a total of 65 questions carrying 100 marks.
8. The question paper may consist of questions of multiple choice type (MCQ) and numerical answer
type.
9. Multiple choice type questions will have four choices against A, B, C, D, out of which only ONE is the
correct answer. The candidate has to choose the correct answer by clicking on the bubble (⃝) placed
before the choice.
10. For numerical answer type questions, each question will have a numerical answer and there will not be
any choices. For these questions, the answer should be enteredby using the virtual keyboard that
appears on the monitor and the mouse.
11. All questions that are not attempted will result in zero marks. However, wrong answers for multiple
choice type questions (MCQ) will result in NEGATIVE marks. For all MCQ questions a wrong
answer will result in deduction of⅓ marks for a 1-mark question and ⅔ marks for a 2-mark question.
12. There is NO NEGATIVE MARKING for questions of NUMERICAL ANSWER TYPE.
13. Non-programmable type Calculator is allowed. Charts, graph sheets, and mathematical tables are NOT
allowed in the Examination Hall. You must use the Scribble pad provided to you at the examination
centre for all your rough work. The Scribble Pad has to be returned at the end of the examination.

Declaration by the candidate:

“I have read and understood all the above instructions. I have also read and understood clearly the
instructions given on the admit card and shall follow the same. I also understand that in case I am found to
violate any of these instructions, my candidature is liable to be cancelled. I also confirm that at the start of
the examination all the computer hardware allotted to me are in proper working condition”.
GATE 2014 SET- 4 General Aptitude -GA

Q. 1 – Q. 5 carry one mark each.


Q.1 Choose the most appropriate word from the options given below to complete the following
sentence.

Communication and interpersonal skills are important in their own ways.


(A) each (B) both (C) all (D) either

Q.2 Which of the options given below best completes the following sentence?

She will feel much better if she ________________.


(A) will get some rest (B) gets some rest

)
(C) will be getting some rest (D) is getting some rest

14
Q.3 Choose the most appropriate pair of words from the options given below to complete the following
sentence.

20
She could not _____ the thought of _________ the election to her bitter rival.
(A) bear, loosing (B) bare, loosing (C) bear, losing (D) bare, losing

Q.4 A regular die has six sides with numbers 1 to 6 marked on its sides. If a very large number of
throws show the following frequencies of occurrence: 1 → 0.167; 2 → 0.167; 3 → 0.152; 4 →
0.166; 5 → 0.168; 6 → 0.180. We call this die
E
(A) irregular (B) biased (C) Gaussian (D) insufficient
AT
Q.5 Fill in the missing number in the series.

2 3 6 15 157.5 630
(G

Q. 6 – Q. 10 carry two marks each.


4

Q.6 Find the odd one in the following group


A0

Q,W,Z,B B,H,K,M W,C,G,J M,S,V,X


(A) Q,W,Z,B (B) B,H,K,M (C) W,C,G,J (D) M,S,V,X

Q.7 Lights of four colors (red, blue, green, yellow) are hung on a ladder. On every step of the ladder
G

there are two lights. If one of the lights is red, the other light on that step will always be blue. If one
of the lights on a step is green, the other light on that step will always be yellow. Which of the
following statements is not necessarily correct?
(A) The number of red lights is equal to the number of blue lights
(B) The number of green lights is equal to the number of yellow lights
(C) The sum of the red and green lights is equal to the sum of the yellow and blue lights
(D) The sum of the red and blue lights is equal to the sum of the green and yellow lights

Q.8 The sum of eight consecutive odd numbers is 656. The average of four consecutive even numbers is
87. What is the sum of the smallest odd number and second largest even number?

GA 1/2
GATE 2014 SET- 4 General Aptitude -GA
Q.9 The total exports and revenues from the exports of a country are given in the two charts shown
below. The pie chart for exports shows the quantity of each item exported as a percentage of the
total quantity of exports. The pie chart for the revenues shows the percentage of the total revenue
generated through export of each item. The total quantity of exports of all the items is 500 thousand
tonnes and the total revenues are 250 crore rupees. Which item among the following has generated
the maximum revenue per kg?

Exports Revenues

Item 6 Item 1 Item 1


11% Item 6 12%
16%
19%

)
Item 5 Item 2 Item 2
12% 20% Item 5

14
20%
20%
Item 3 Item 3
Item 4 19% Item 4 23%
22% 6%

20
(A) Item 2 (B) Item 3 (C) Item 6 (D) Item 5

Q.10
E
It takes 30 minutes to empty a half-full tank by draining it at a constant rate. It is decided to
simultaneously pump water into the half-full tank while draining it. What is the rate at which water
has to be pumped in so that it gets fully filled in 10 minutes?
AT
(A) 4 times the draining rate (B) 3 times the draining rate
(C) 2.5 times the draining rate (D) 2 times the draining rate
(G

END OF THE QUESTION PAPER


4
A0
G

GA 2/2
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q. 1 – Q. 25 carry one mark each.


Q.1 The determinant of matrix 𝐴 is 5 and the determinant of matrix 𝐵 is 40. The determinant of matrix
𝐴𝐵 is ________.

Q.2 Let X be a random variable which is uniformly chosen from the set of positive odd numbers less
than 100. The expectation, 𝐸[𝑋], is __________.
Q.3 For 0 ≤ 𝑡 < ∞, the maximum value of the function 𝑓(𝑡) = 𝑒 −𝑡 − 2 𝑒 −2𝑡 occurs at
(A) 𝑡 = log 𝑒 4 (B) 𝑡 = log 𝑒 2 (C) 𝑡 = 0 (D) 𝑡 = log 𝑒 8

Q.4 The value of


1 𝑥

)
lim �1 + �
𝑥→∞ 𝑥

14
is

(A) 𝑙𝑛2 (B) 1.0 (C) 𝑒 (D) ∞

20
Q.5 If the characteristic equation of the differential equation

𝑑2 𝑦 𝑑𝑦
2
+2𝛼 +𝑦 =0
𝑑𝑥 𝑑𝑥
E
has two equal roots, then the values of α are
AT
(A) ±1 (B) 0,0 (C) ±j (D) ±1/2

Q.6 Norton’s theorem states that a complex network connected to a load can be replaced with an
(G

equivalent impedance
(A) in series with a current source
(B) in parallel with a voltage source
(C) in series with a voltage source
(D) in parallel with a current source
02

Q.7 In the figure shown, the ideal switch has been open for a long time. If it is closed at 𝑡 = 0, then the
magnitude of the current (in mA) through the 4 kΩ resistor at 𝑡 = 0+ is _______.
EC

Q.8 A silicon bar is doped with donor impurities ND = 2.25 x 1015 atoms / cm3. Given the intrinsic
carrier concentration of silicon at T = 300 K is ni = 1.5 x 1010 cm-3. Assuming complete impurity
ionization, the equilibrium electron and hole concentrations are
(A) n0 = 1.5 x 1016 cm-3, p0 = 1.5 x 105 cm-3
(B) n0 = 1.5 x 1010 cm-3, p0= 1.5 x 1015 cm-3
(C) n0 = 2.25 x 1015 cm-3, p0 = 1.5 x 1010 cm-3
(D) n0 = 2.25 x 1015 cm-3, p0 = 1 x 105 cm-3

EC 1/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q.9 An increase in the base recombination of a BJT will increase


(A) the common emitter dc current gain β
(B) the breakdown voltage BVCEO
(C) the unity-gain cut-off frequency fT
(D) the transconductance gm

Q.10 In CMOS technology, shallow P-well or N-well regions can be formed using
(A) low pressure chemical vapour deposition
(B) low energy sputtering
(C) low temperature dry oxidation
(D) low energy ion-implantation

)
Q.11 The feedback topology in the amplifier circuit ( the base bias circuit is not shown for simplicity) in

14
the figure is

20
E
AT
(G

(A) Voltage shunt feedback


(B) Current series feedback
02

(C) Current shunt feedback


(D) Voltage series feedback
EC

EC 2/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.12 In the differential amplifier shown in the figure, the magnitudes of the common-mode and
differential-mode gains are 𝐴𝑐𝑚 and 𝐴𝑑 , respectively. If the resistance RE is increased, then

)
14
(A) 𝐴𝑐𝑚 increases (B) common-mode rejection ratio increases
(C) 𝐴𝑑 increases (D) common-mode rejection ratio decreases

Q.13 A cascade connection of two voltage amplifiers A1 and A2 is shown in the figure. The open-loop

20
gain Av0 , input resistance R in , and output resistance R o for A1 and A2 are as follows:
A1: Av0 = 10, R in = 10 kΩ, R o = 1 kΩ.
A2: Av0 = 5, R in = 5 kΩ, R o = 200 Ω.
The approximate overall voltage gain vout/vin is __________.
E
AT
(G

Q.14 For an n-variable Boolean function, the maximum number of prime implicants is
(A) 2(n-1) (B) n/2 (C) 2n (D) 2(n-1)

Q.15 The number of bytes required to represent the decimal number 1856357 in packed BCD (Binary
Coded Decimal) form is __________ .
02

Q.16 In a half-subtractor circuit with X and Y as inputs, the Borrow (M) and Difference (N = X - Y) are
given by
EC

(A) 𝑀 = 𝑋 ⊕ 𝑌, 𝑁 = 𝑋𝑌
(B) 𝑀 = 𝑋𝑌, 𝑁 =𝑋⊕𝑌
(C) 𝑀 = 𝑋�𝑌, 𝑁 = 𝑋⊕𝑌
(D) 𝑀 = 𝑋𝑌� , 𝑁 = ��������
𝑋⊕𝑌

Q.17 An FIR system is described by the system function

7 3
𝐻(𝑧) = 1 + z −1 + z −2
2 2
The system is
(A) maximum phase (B) minimum phase (C) mixed phase (D) zero phase

EC 3/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.18 Let 𝑥[𝑛] = 𝑥[−𝑛]. Let 𝑋(𝑧) be the 𝑧-transform of 𝑥[𝑛]. If 0.5 + 𝑗 0.25 is a zero of 𝑋(𝑧), which
one of the following must also be a zero of 𝑋(𝑧).
(A) 0.5 − 𝑗 0.25 (B) 1/(0.5 + 𝑗 0.25) (C) 1/(0.5 − 𝑗 0.25) (D) 2+𝑗 4

Q.19 Consider the periodic square wave in the figure shown.

)
The ratio of the power in the 7th harmonic to the power in the 5th harmonic for this waveform is

14
closest in value to _______.

Q.20 The natural frequency of an undamped second-order system is 40 rad/s. If the system is
damped with a damping ratio 0.3, the damped natural frequency in rad/s is ________.

20
Q.21 For the following system,

X2 (s)
E +
X1 (s) s + Y(s)
+ 1
AT
s+1 s
-
(G

𝑌(𝑠)
when 𝑋1 (𝑠) = 0, the transfer function 𝑋 is
2 (𝑠)

𝑠+1 1 𝑠+2 𝑠+1


(A) 𝑠2
(B) 𝑠+1 (C) 𝑠(𝑠+1) (D) 𝑠(𝑠+2)
02

Q.22 The capacity of a band-limited additive white Gaussian noise (AWGN) channel is given by
𝑃
𝐶 = 𝑊 log 2 �1 + 𝜎2 𝑊� bits per second (bps), where 𝑊 is the channel bandwidth, 𝑃 is the
average power received and 𝜎 2 is the one-sided power spectral density of the AWGN.
EC

𝑃
For a fixed 2 = 1000, the channel capacity (in kbps) with infinite bandwidth (𝑊 → ∞) is
𝜎
approximately
(A) 1.44 (B) 1.08 (C) 0.72 (D) 0.36

Q.23 Consider sinusoidal modulation in an AM system. Assuming no overmodulation, the modulation


index (𝜇) when the maximum and minimum values of the envelope, respectively, are 3 V and 1 V,
is ________.

EC 4/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.24 To maximize power transfer, a lossless transmission line is to be matched to a resistive load
impedance via a λ/4 transformer as shown.

lossless transmission line


λ/4 transformer
ZL = 100 Ω
Zin =50 Ω

The characteristic impedance (in Ω) of the λ/4 transformer is _________.

)
14
Q.25 Which one of the following field patterns represents a TEM wave travelling in the positive 𝑥
direction?
(A) 𝐸 = +8𝑦�, 𝐻 = −4𝑧̂ (B) 𝐸 = −2𝑦�, 𝐻 = −3𝑧̂

20
(C) 𝐸 = +2𝑧̂ , 𝐻 = +2𝑦� (D) 𝐸 = −3𝑦�, 𝐻 = +4𝑧̂

Q. 26 – Q. 55 carry two marks each.

Q.26 The system of linear equations


E
AT
2 1 3 𝑎 5
�3 0 1� �𝑏� = �−4� has
1 2 5 𝑐 14

(A) a unique solution


(G

(B) infinitely many solutions


(C) no solution
(D) exactly two solutions

Q.27 The real part of an analytic function 𝑓(𝑧) where 𝑧 = 𝑥 + 𝑗𝑦 is given by 𝑒 −𝑦 cos(𝑥). The imaginary
02

part of 𝑓(𝑧) is
(A) 𝑒 𝑦 cos(𝑥) (B) 𝑒 −𝑦 sin(𝑥) (C) −𝑒 𝑦 sin(𝑥) (D) −𝑒 −𝑦 sin(𝑥)

Q.28 The maximum value of the determinant among all 2 × 2 real symmetric matrices with trace 14 is
EC

________.
 
Q.29 If r = xaˆ x + yaˆ y + zaˆ z and r = r , then div�𝑟 2 ∇(ln 𝑟)� = ______ .

Q.30 A series LCR circuit is operated at a frequency different from its resonant frequency. The operating
frequency is such that the current leads the supply voltage. The magnitude of current is half the
value at resonance. If the values of L, C and R are 1 H, 1 F and 1 Ω, respectively, the operating
angular frequency (in rad/s) is ________.

EC 5/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.31 In the h-parameter model of the 2-port network given in the figure shown, the value of ℎ22 (in S) is
______ .

3Ω

1 3Ω 3Ω 2

2Ω
1' 2'
2Ω 2Ω

)
Q.32 In the figure shown, the capacitor is initially uncharged. Which one of the following expressions

14
describes the current I(t) (in mA) for 𝑡 > 0?

20
5 2 5 2
(A) I(𝑡) = �1 − 𝑒 −𝑡/𝜏 �, 𝜏= (B) I(𝑡) = �1 − 𝑒 −𝑡/𝜏 �, 𝜏 =
3
5
3
msec
E 2
5
3
msec
(C) I(𝑡) = 3
�1 − 𝑒 −𝑡/𝜏 �, 𝜏 = 3 msec (D) I(𝑡) =
2
�1 − 𝑒 −𝑡/𝜏 �, 𝜏 = 3 msec
AT
Q.33 In the magnetically coupled circuit shown in the figure, 56 % of the total flux emanating from one
coil links the other coil. The value of the mutual inductance (in H) is ______ .

10 Ω M
(G

4H 5H (1/16) F
60cos(4t + 300 ) V
02

Q.34 Assume electronic charge q = 1.6×10-19 C, kT/q = 25 mV and electron mobility µn = 1000 cm2/V-s.
If the concentration gradient of electrons injected into a P-type silicon sample is 1×1021/cm4, the
magnitude of electron diffusion current density (in A/cm2) is _________.
EC

Q.35 Consider an abrupt PN junction (at T = 300 K) shown in the figure. The depletion region width Xn
on the N-side of the junction is 0.2 µm and the permittivity of silicon (εsi) is 1.044×10-12 F/cm. At
the junction, the approximate value of the peak electric field (in kV/cm) is _________.

EC 6/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q.36 When a silicon diode having a doping concentration of NA = 9 × 1016 cm-3 on p-side and
ND = 1 × 1016 cm-3 on n-side is reverse biased, the total depletion width is found to be 3 µm.
Given that the permittivity of silicon is 1.04 × 10–12 F/cm, the depletion width on the p-side and
the maximum electric field in the depletion region, respectively, are
(A) 2.7 µm and 2.3 × 105 V/cm
(B) 0.3 µm and 4.15 × 105 V/cm
(C) 0.3 µm and 0.42 × 105 V/cm
(D) 2.1 µm and 0.42 × 105 V/cm

Q.37 The diode in the circuit shown has Von = 0.7 Volts but is ideal otherwise.
If Vi = 5 sin(𝜔𝑡)Volts, the minimum and maximum values of Vo (in Volts) are, respectively,

)
14
20
(A) −5 and 2.7 (B) 2.7 and 5
(C) −5 and 3.85
E (D) 1.3 and 5

For the n-channel MOS transistor shown in the figure, the threshold voltage VTh is 0.8 V. Neglect
AT
Q.38
channel length modulation effects. When the drain voltage VD = 1.6 V, the drain current ID was
found to be 0.5 mA. If VD is adjusted to be 2 V by changing the values of R and VDD , the new value
of ID (in mA) is
(G
02

(A) 0.625 (B) 0.75 (C) 1.125 (D) 1.5


EC

EC 7/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.39 For the MOSFETs shown in the figure, the threshold voltage |Vt| = 2 V and
1 W 
K= µ Cox  2
 = 0.1 mA/V . The value of ID (in mA) is _______ .
2 L 

VDD = +12 V

R1
10 kΩ

R2 ↓ ID
10 kΩ

)
14
VSS = –5 V

20
Q.40 In the circuit shown, choose the correct timing diagram of the output (y) from the given waveforms
W1, W2, W3 and W4.

E
AT
(G
02
EC

(A) W1 (B) W2 (C) W3 (D) W4

EC 8/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q.41 The outputs of the two flip-flops Q1, Q2 in the figure shown are initialized to 0, 0. The sequence
generated at Q1 upon application of clock signal is

Q1
J1 Q1 J2 Q2

K1 Q1 K2 Q2

CLK

)
14
(A) 01110…
(B) 01010…
(C) 00110…

20
(D) 01100…

Q.42 For the 8085 microprocessor, the interfacing circuit to input 8-bit digital data (DI0 – DI7) from an
external device is shown in the figure. The instruction for correct data transfer is

3-to-8 7
Decoder 6
E
I/O Device
A2 C 5
AT
4
A1 B 3 Digital
DI0– DI7 DO0– DO7 Data Bus
2 Inputs (D0– D7)
A0 A 1
0
G 2A G 2B G1 DS1 DS2
(G

IO/M
RD
A8
A3
A9
A4 A10
A5
A11
A6
A12
A7
02

A13
A14
A15

(A) MVI A, F8H (B) IN F8H (C) OUT F8H (D) LDA F8F8H
EC

Q.43 Consider a discrete-time signal

𝑛 for 0 ≤ 𝑛 ≤ 10
𝑥[𝑛] = � .
0 otherwise

If 𝑦[𝑛] is the convolution of 𝑥[𝑛] with itself, the value of 𝑦[4] is _________.

Q.44 The input-output relationship of a causal stable LTI system is given as

𝑦[𝑛] = 𝛼 𝑦[𝑛 − 1] + 𝛽 𝑥[𝑛]

If the impulse response ℎ[𝑛] of this system satisfies the condition ∑∞


𝑛=0 ℎ[𝑛] = 2, the relationship
between α and β is
(A) 𝛼 = 1 − 𝛽/2 (B) 𝛼 = 1 + 𝛽/2 (C) 𝛼 = 2𝛽 (D) 𝛼 = −2𝛽

EC 9/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC


Q.45 The value of the integral ∫−∞ sinc 2 (5𝑡) 𝑑𝑡 is ________.

Q.46 An unforced linear time invariant (LTI) system is represented by

𝑥̇ −1 0 𝑥1
� 1� = � �� �
𝑥̇ 2 0 −2 𝑥2

If the initial conditions are 𝑥1 (0) = 1 and 𝑥2 (0) = −1, the solution of the state equation is
(A) 𝑥1 (𝑡) = −1, 𝑥2 (𝑡) = 2
(B) 𝑥1 (𝑡) = −𝑒 −𝑡 , 𝑥2 (𝑡) = 2𝑒 −𝑡
(C) 𝑥1 (𝑡) = 𝑒 −𝑡 , 𝑥2 (𝑡) = −𝑒 −2𝑡
(D) 𝑥1 (𝑡) = −𝑒 −𝑡 , 𝑥2 (𝑡) = −2𝑒 −𝑡

)
14
Q.47 The Bode asymptotic magnitude plot of a minimum phase system is shown in the figure.

20
E
AT

If the system is connected in a unity negative feedback configuration, the steady state error of the
closed loop system, to a unit ramp input, is_________.
(G

Q.48 Consider the state space system expressed by the signal flow diagram shown in the figure.
02
EC

The corresponding system is

(A) always controllable (B) always observable


(C) always stable (D) always unstable

EC 10/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.49 The input to a 1-bit quantizer is a random variable 𝑋 with pdf 𝑓𝑋 (𝑥) = 2𝑒 −2𝑥 for 𝑥 ≥ 0 and
𝑓𝑋 (𝑥) = 0 for 𝑥 < 0. For outputs to be of equal probability, the quantizer threshold should be
_____.

Q.50 Coherent orthogonal binary FSK modulation is used to transmit two equiprobable symbol
waveforms 𝑠1 (𝑡) = 𝛼 cos 2𝜋𝑓1 𝑡 and 𝑠2 (𝑡) = 𝛼 cos 2𝜋𝑓2 𝑡, where 𝛼 = 4 mV. Assume an AWGN
𝑁
channel with two-sided noise power spectral density 0 = 0.5 × 10−12 W/Hz. Using an optimal
2
1 ∞ 2
receiver and the relation 𝑄(𝑣) = 2𝜋
∫𝑣 𝑒 −𝑢 ⁄2 𝑑𝑢, the bit error probability for a data rate of

500 kbps is
(A) 𝑄(2) (B) 𝑄�2√2� (C) 𝑄(4) (D) 𝑄�4√2�

)
14
Q.51 The power spectral density of a real stationary random process 𝑋(𝑡) is given by
1
, | 𝑓| ≤ 𝑊
𝑆𝑋 (𝑓) = �𝑊 .
0, |𝑓| > 𝑊

20
1
The value of the expectation 𝐸 �π 𝑋(𝑡) 𝑋 �𝑡 − �� is_________.
4𝑊

In the figure, 𝑀(𝑓) is the Fourier transform of the message signal 𝑚(𝑡) where A = 100 Hz and
Q.52
E
B = 40 Hz. Given 𝑣(𝑡) = cos(2𝜋𝑓𝑐 𝑡) and 𝑤(𝑡) = cos(2𝜋(𝑓𝑐 + 𝐴)𝑡), where 𝑓𝑐 > 𝐴. The cutoff
frequencies of both the filters are 𝑓𝑐 .
AT
M(f)
1
(G

-A -B B A f

m(t) High Pass Low Pass s(t)


Filter Filter
02

v(t) w(t)

The bandwidth of the signal at the output of the modulator (in Hz) is _____.
EC

Q.53 If the electric field of a plane wave is

𝐸�⃗ (𝑧, 𝑡) = 𝑥�3cos(𝜔𝑡 − 𝑘𝑧 + 30°) − 𝑦�4sin(𝜔𝑡 − 𝑘𝑧 + 45°) (mV/m),

the polarization state of the plane wave is


(A) left elliptical
(B) left circular
(C) right elliptical
(D) right circular

EC 11/12
GATE 2014 SET2 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.54 In the transmission line shown, the impedance Zin (in ohms) between node A and the ground is
_________.

Q.55 For a rectangular waveguide of internal dimensions 𝑎 × 𝑏 (𝑎 > 𝑏), the cut-off frequency for the
TE11 mode is the arithmetic mean of the cut-off frequencies for TE10 mode and TE20 mode. If

)
𝑎 = √5 cm, the value of 𝑏 (in cm) is _____.

14
20
END OF THE QUESTION PAPER
E
AT
(G
02
EC

EC 12/12
GATE 2014
 Answer Keys for EC ‐ Electronics and Communication Engineering
SESSION ‐ Ϯ SESSION ‐ Ϯ
Section Q. No. Section Q. No.
Key / Range Marks Key / Range Marks
GA 1 B 1 EC 24 70 to 72 1
GA 2 B 1 EC 25 B 1
GA 3 C 1 EC 26 B 2
GA 4 B 1 EC 27 B 2
GA 5 45 to 45 1 EC 28 48.9 to 49.1 2
GA 6 C 2 EC 29 2.9 to 3.1 2
GA 7 D 2 EC 30 0.45 to 0.47 2
GA 8 163 to 163 2 EC 31 1.24 to 1.26 2
GA 9 D 2 EC 32 A 2
GA 10 A 2 EC 33 2.49 to 2.52 2
EC 1 199 to 201 1 EC 34 3990 to 4010 2
EC 2 49.9 to 50.1 1 EC 35 30 to 32 2
EC 3 A 1 EC 36 B 2
EC 4 C 1 EC 37 C 2
EC 5 A 1 EC 38 C 2
EC 6 D 1 EC 39 0.88 to 0.92 2
EC 7 1.2 to 1.3 1 EC 40 C 2
EC 8 D 1 EC 41 D 2
EC 9 B 1 EC 42 D 2
EC 10 D 1 EC 43 9.9 to 10.1 2
EC 11 B 1 EC 44 A 2
EC 12 B 1 EC 45 0.19 to 0.21 2
EC 13 34.0 to 35.3 1 EC 46 C 2
EC 14 D 1 EC 47 0.49 to 0.51 2
EC 15 3.9 to 4.1 1 EC 48 A 2
EC 16 C 1 EC 49 0.34 to 0.36 2
EC 17 C 1 EC 50 C 2
EC 18 B 1 EC 51 3.9 to 4.1 2
EC 19 0.50 to 0.52 1 EC 52 59.9 to 60.1 2
EC 20 38.13 to 38.19 1 EC 53 A 2
EC 21 D 1 EC 54 32.99 to 34.01 2
EC 22 A 1 EC 55 1.9 to 2.1 2
EC 23 0.45 to 0.55 1
SESSION - 3
GATE 2014: General Instructions during Examination

1. Total duration of the GATE examination is 180 minutes.


2. The clock will be set at the server. The countdown timer at the top right corner of
screen will display the remaining time available for you to complete the examination.
When the timer reaches zero, the examination will end by itself. You need not
terminate the examination or submit your paper.
3. Any useful data required for your paper can be viewed by clicking on the Useful
Common Data button that appears on the screen.
4. Use the scribble pad provided to you for any rough work. Submit the scribble pad at
the end of the examination.
5. You are allowed to use a non-programmable type calculator, however, sharing of
calculators is not allowed.
6. The Question Palette displayed on the right side of screen will show the status of
each question using one of the following symbols:

The Marked for Review status for a question simply indicates that you would like to look at
that question again. If a question is answered, but marked for review, then the answer will
be considered for evaluation unless the status is modified by the candidate.

Navigating to a Question :

7. To answer a question, do the following:


a. Click on the question number in the Question Palette to go to that question
directly.
b. Select an answer for a multiple choice type question by clicking on the bubble
placed before the 4 choices, namely A, B, C and D. Use the virtual numeric
keypad to enter a number as answer for a numerical type question.
c. Click on Save & Next to save your answer for the current question and then go
to the next question.
d. Click on Mark for Review & Next to save your answer for the current question
and also mark it for review, and then go to the next question.
Caution: Note that your answer for the current question will not be saved, if you navigate
to another question directly by clicking on a question number without saving the answer to
the previous question.

You can view all the questions by clicking on the Question Paper button. This feature is
provided, so that if you want you can just see the entire question paper at a glance.

Answering a Question :

8. Procedure for answering a multiple choice (MCQ) type question:


a. Choose one answer from the 4 options (A,B,C,D) given below the question,
click on the bubble placed before the chosen option.
b. To deselect your chosen answer, click on the bubble of the chosen option again
or click on the Clear Response button.
c. To change your chosen answer, click on the bubble of another option.
d. To save your answer, you MUST click on the Save & Next button.

9. Procedure for answering a numerical answer type question:


a. To enter a number as your answer, use the virtual numerical keypad.
b. A fraction (e.g. -0.3 or -.3) can be entered as an answer with or without '0'
before the decimal point. As many as four decimal points, e.g. 12.5435 or
0.003 or -932.6711 or 12.82 can be entered.
c. To clear your answer, click on the Clear Response button.
d. To save your answer, you MUST click on the Save & Next button
10. To mark a question for review, click on the Mark for Review & Next button. If an
answer is selected (for MCQ) or entered (for numerical answer type) for a question
that is Marked for Review, that answer will be considered in the evaluation unless
the status is modified by the candidate.

11. To change your answer to a question that has already been answered, first select
that question for answering and then follow the procedure for answering that type of
question.
12. Note that ONLY Questions for which answers are saved or marked for review after
answering will be considered for evaluation.

Choosing a Section :

13. Sections in this question paper are displayed on the top bar of the screen. Questions
in a Section can be viewed by clicking on the name of that Section. The Section you
are currently viewing will be highlighted.
14. A checkbox is displayed for every optional Section, if any, in the Question Paper. To
select the optional Section for answering, click on the checkbox for that Section.
15. If the checkbox for an optional Section is not selected, the Save & Next button and
the Mark for Review & Next button will NOT be enabled for that Section. You will
only be able to see questions in this Section, but you will not be able to answer
questions in the Section.
16. After clicking the Save & Next button for the last question in a Section, you will
automatically be taken to the first question of the next Section in sequence.
17. You can move the mouse cursor over the name of a Section to view the answering
status for that Section.

Changing the Optional Section :

18. After answering the chosen optional Section, partially or completely, you can change
the optional Section by selecting the checkbox for a new Section that you want to
attempt. A warning message will appear along with a table showing the number of
questions answered in each of the previously chosen optional Sections and a
checkbox against each of these Sections. Click on a checkbox against a Section that
you want to reset and then click on the RESET button. Note that RESETTING a Section
will DELETE all the answers for questions in that Section. Hence, if you think that you
may want to select this Section again later, you will have to note down your answers
for questions in that Section. If you do not want to reset the Section and want to
continue answering the previously chosen optional Section, then click on the BACK
button.

19. If you deselect the checkbox for an optional Section in the top bar, the following
warning message will appear: "Deselecting the checkbox will DELETE all the answers
for questions in this Section. Do you want to deselect this Section?” If you want to
deselect, click on the RESET button. If you do not want to deselect, click on the BACK
button.

20. You can shuffle between different Sections or change the optional Sections any
number of times.
GATE 2014 Examination
EC: Electronics & Communications Engineering
Duration: 180 minutes Maximum Marks: 100

Read the following instructions carefully.

1. To login, enter your Registration Number and password provided to you. Kindly go through the various
symbols used in the test and understand their meaning before you start the examination.
2. Once you login and after the start of the examination, you can view all the questions in the question
paper, by clicking on the View All Questions button in the screen.
3. This question paper consists of 2 sections, General Aptitude (GA) for 15 marks and the subject
specific GATE paper for 85 marks. Both these sections are compulsory.
The GA section consists of 10 questions. Question numbers 1 to 5 are of 1-mark each, while question
numbers 6 to 10 are of 2-mark each.
The subject specific GATE paper section consists of 55 questions, out of which question numbers 1 to
25 are of 1-mark each, while question numbers 26 to 55 are of 2-mark each.
4. Depending upon the GATE paper, there may be useful common data that may be required for
answering the questions. If the paper has such useful data, the same can be viewed by clicking on the
Useful Common Data button that appears at the top, right hand side of the screen.
5. The computer allotted to you at the examination center runs specialized software that permits only one
answer to be selected for multiple-choice questions using a mouse and to enter a suitable number for
the numerical answer type questions using the virtual keyboard and mouse.
6. Your answers shall be updated and saved on a server periodically and also at the end of the
examination. The examination will stop automatically at the end of 180 minutes.
7. In each paper a candidate can answer a total of 65 questions carrying 100 marks.
8. The question paper may consist of questions of multiple choice type (MCQ) and numerical answer
type.
9. Multiple choice type questions will have four choices against A, B, C, D, out of which only ONE is the
correct answer. The candidate has to choose the correct answer by clicking on the bubble (⃝) placed
before the choice.
10. For numerical answer type questions, each question will have a numerical answer and there will not be
any choices. For these questions, the answer should be enteredby using the virtual keyboard that
appears on the monitor and the mouse.
11. All questions that are not attempted will result in zero marks. However, wrong answers for multiple
choice type questions (MCQ) will result in NEGATIVE marks. For all MCQ questions a wrong
answer will result in deduction of⅓ marks for a 1-mark question and ⅔ marks for a 2-mark question.
12. There is NO NEGATIVE MARKING for questions of NUMERICAL ANSWER TYPE.
13. Non-programmable type Calculator is allowed. Charts, graph sheets, and mathematical tables are NOT
allowed in the Examination Hall. You must use the Scribble pad provided to you at the examination
centre for all your rough work. The Scribble Pad has to be returned at the end of the examination.

Declaration by the candidate:

“I have read and understood all the above instructions. I have also read and understood clearly the
instructions given on the admit card and shall follow the same. I also understand that in case I am found to
violate any of these instructions, my candidature is liable to be cancelled. I also confirm that at the start of
the examination all the computer hardware allotted to me are in proper working condition”.
GATE 2014 SET- 5 General Aptitude -GA

Q. 1 – Q. 5 carry one mark each.


Q.1 “India is a country of rich heritage and cultural diversity.”

Which one of the following facts best supports the claim made in the above sentence?
(A) India is a union of 28 states and 7 union territories.
(B) India has a population of over 1.1 billion.
(C) India is home to 22 official languages and thousands of dialects.
(D) The Indian cricket team draws players from over ten states.

Q.2 The value of one U.S. dollar is 65 Indian Rupees today, compared to 60 last year. The Indian Rupee
has ____________.

)
(A) depressed (B) depreciated (C) appreciated (D) stabilized

14
Q.3 'Advice' is ________________.
(A) a verb (B) a noun (C) an adjective (D) both a verb and a noun

20
Q.4 The next term in the series 81, 54, 36, 24, … is ________

Q.5 In which of the following options will the expression P < M be definitely true?

(A) M < R > P > S (B) M > S < P < F


E (C) Q < M < F = P (D) P = A < R < M
AT
Q. 6 – Q. 10 carry two marks each.

Q.6 Find the next term in the sequence: 7G, 11K, 13M, ___
(A) 15Q (B) 17Q (C) 15P (D) 17P
(G
5
A0
G

GA 1/3
GATE 2014 SET- 5 General Aptitude -GA
Q.7 The multi-level hierarchical pie chart shows the population of animals in a reserve forest. The
correct conclusions from this information are:

)
14
20
E
AT
(i) Butterflies are birds
(ii) There are more tigers in this forest than red ants
(iii) All reptiles in this forest are either snakes or crocodiles
(iv) Elephants are the largest mammals in this forest
(G

(A) (i) and (ii) only (B) (i), (ii), (iii) and (iv)
(C) (i), (iii) and (iv) only (D) (i), (ii) and (iii) only

Q.8 A man can row at 8 km per hour in still water. If it takes him thrice as long to row upstream, as to
5

row downstream, then find the stream velocity in km per hour.


A0
G

GA 2/3
GATE 2014 SET- 5 General Aptitude -GA
Q.9 A firm producing air purifiers sold 200 units in 2012. The following pie chart presents the share of
raw material, labour, energy, plant & machinery, and transportation costs in the total manufacturing
cost of the firm in 2012. The expenditure on labour in 2012 is Rs. 4,50,000. In 2013, the raw
material expenses increased by 30% and all other expenses increased by 20%. If the company
registered a profit of Rs. 10 lakhs in 2012, at what price (in Rs.) was each air purifier sold?

)
14
20
E
Q.10 A batch of one hundred bulbs is inspected by testing four randomly chosen bulbs. The batch is
AT
rejected if even one of the bulbs is defective. A batch typically has five defective bulbs. The
probability that the current batch is accepted is
(G

END OF THE QUESTION PAPER


5
A0
G

GA 3/3
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q. 1 – Q. 25 carry one mark each.


Q.1 The maximum value of the function 𝑓𝑓(𝑥𝑥) = 𝑙𝑙𝑙𝑙(1 + 𝑥𝑥) − 𝑥𝑥 (where 𝑥𝑥 > −1) occurs at 𝑥𝑥 =______.

Q.2 Which ONE of the following is a linear non-homogeneous differential equation, where x and y are
the independent and dependent variables respectively?

𝑑𝑑𝑑𝑑
(A) + 𝑥𝑥𝑥𝑥 = 𝑒𝑒 −𝑥𝑥
𝑑𝑑𝑑𝑑
𝑑𝑑𝑑𝑑
(B) 𝑑𝑑𝑑𝑑
+ 𝑥𝑥𝑥𝑥 = 0
𝑑𝑑𝑑𝑑
(C) + 𝑥𝑥𝑥𝑥 = 𝑒𝑒 −𝑦𝑦

)
𝑑𝑑𝑑𝑑
𝑑𝑑𝑑𝑑
(D) + 𝑒𝑒 −𝑦𝑦 = 0

14
𝑑𝑑𝑑𝑑

Q.3 Match the application to appropriate numerical method.

20
Application Numerical |Method

P1: Numerical integration M1: Newton-Raphson Method


P2: Solution to a transcendental equation M2: Runge-Kutta Method
P3: Solution to a system of linear equations M3: Simpson’s 1/3-rule
P4: Solution to a differential equation
E M4: Gauss Elimination Method
AT
(A) P1—M3, P2—M2, P3—M4, P4—M1
(B) P1—M3, P2—M1, P3—M4, P4—M2
(C) P1—M4, P2—M1, P3—M3, P4—M2
(D) P1—M2, P2—M1, P3—M3, P4—M4
(G

Q.4 An unbiased coin is tossed an infinite number of times. The probability that the fourth head appears
at the tenth toss is
03

(A) 0.067 (B) 0.073 (C) 0.082 (D) 0.091

Q.5 If z = xy ln( xy ), then


EC

∂z ∂z ∂z ∂z
(A) x +y =
0 (B) y =x
∂x ∂y ∂x ∂y
∂z ∂z ∂z ∂z
(C) x =y (D) y +x = 0
∂x ∂y ∂x ∂y

EC 1/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.6 A series RC circuit is connected to a DC voltage source at time t = 0. The relation between the
source voltage VS, the resistance R, the capacitance C, and the current i(t) is given below:
1 𝑡𝑡
𝑉𝑉𝑠𝑠 = 𝑅𝑅 𝑖𝑖(𝑡𝑡) + ∫𝑜𝑜 𝑖𝑖(𝑢𝑢)𝑑𝑑𝑑𝑑.
𝐶𝐶

Which one of the following represents the current i(t)?


(A) (B)

i(t) i(t)

)
14
20
0 t 0 t

(C) (D)
E
i(t) i(t)
AT
(G

0 t 0 t
03

Q.7 In the figure shown, the value of the current I (in Amperes) is __________.
EC

Q.8 In MOSFET fabrication, the channel length is defined during the process of

(A) isolation oxide growth


(B) channel stop implantation
(C) poly-silicon gate patterning
(D) lithography step leading to the contact pads

EC 2/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.9 A thin P-type silicon sample is uniformly illuminated with light which generates excess carriers.
The recombination rate is directly proportional to
(A) the minority carrier mobility
(B) the minority carrier recombination lifetime
(C) the majority carrier concentration
(D) the excess minority carrier concentration

𝑘𝑘𝑘𝑘
Q.10 At T = 300 K, the hole mobility of a semiconductor 𝜇𝜇𝑝𝑝 = 500 cm2 /V-s and 𝑞𝑞
= 26 mV. The
hole diffusion constant 𝐷𝐷𝑝𝑝 in cm2 /s is ________

)
14
Q.11 The desirable characteristics of a transconductance amplifier are
(A) high input resistance and high output resistance
(B) high input resistance and low output resistance

20
(C) low input resistance and high output resistance
(D) low input resistance and low output resistance

Q.12 In the circuit shown, the PNP transistor has |𝑉𝑉𝐵𝐵𝐵𝐵 | = 0.7 Vand β = 50. Assume that 𝑅𝑅𝐵𝐵 = 100 𝑘𝑘Ω.
For V0 to be 5 V, the value of 𝑅𝑅𝐶𝐶 (in 𝑘𝑘Ω) is _______
E
AT
RC
V0
(G

RB V EE = 10 V
03

Q.13 The figure shows a half-wave rectifier. The diode D is ideal. The average steady-state current (in
Amperes) through the diode is approximately ____________.
EC

Q.14 An analog voltage in the range 0 to 8 V is divided in 16 equal intervals for conversion to 4-bit
digital output. The maximum quantization error (in V) is _________

EC 3/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.15 The circuit shown in the figure is a

D
Q Q
D Latch D Latch
En En
Q Q

Clk

(A) Toggle Flip Flop


(B) JK Flip Flop
(C) SR Latch

)
(D) Master-Slave D Flip Flop

14
Q.16 Consider the multiplexer based logic circuit shown in the figure.

20
W 0
MUX 0
1 MUX F
E 1

S1
S2
AT
Which one of the following Boolean functions is realized by the circuit?
(A) 𝐹𝐹 = 𝑊𝑊𝑆𝑆�1 𝑆𝑆
���2
(B) 𝐹𝐹 = 𝑊𝑊𝑆𝑆1 + 𝑊𝑊𝑆𝑆2 + 𝑆𝑆1 𝑆𝑆2
� + 𝑆𝑆1 + 𝑆𝑆2
(C) 𝐹𝐹 = 𝑊𝑊
(G

(D) 𝐹𝐹 = 𝑊𝑊 ⊕ 𝑆𝑆1 ⊕ 𝑆𝑆2

Q.17 Let 𝑥𝑥(𝑡𝑡) = cos(10𝜋𝜋𝜋𝜋) + cos(30𝜋𝜋𝜋𝜋) be sampled at 20 Hz and reconstructed using an ideal low-pass
filter with cut-off frequency of 20 Hz. The frequency/frequencies present in the reconstructed signal
is/are
03

(A) 5 Hz and 15 Hz only (B) 10 Hz and 15 Hz only


(C) 5 Hz, 10 Hz and 15 Hz only (D) 5 Hz only
EC

Q.18 (𝑧𝑧 −1 −𝑏𝑏 )


For an all-pass system 𝐻𝐻(𝑧𝑧) = (1− 𝑎𝑎𝑧𝑧 −1 )
, where �𝐻𝐻�𝑒𝑒 −𝑗𝑗𝑗𝑗 �� = 1, for all 𝜔𝜔.
If Re(𝑎𝑎) ≠ 0, Im(𝑎𝑎) ≠ 0, then b equals
(A) 𝑎𝑎 (B) 𝑎𝑎∗ (C) 1/𝑎𝑎∗ (D) 1/𝑎𝑎

EC 4/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.19 A modulated signal is 𝑦𝑦(𝑡𝑡) = 𝑚𝑚(𝑡𝑡) cos(40000𝜋𝜋𝜋𝜋), where the baseband signal 𝑚𝑚(𝑡𝑡) has frequency
components less than 5 kHz only. The minimum required rate (in kHz) at which 𝑦𝑦(𝑡𝑡) should be
sampled to recover 𝑚𝑚(𝑡𝑡) is _______.

Q.20 Consider the following block diagram in the figure.

)
14
𝐶𝐶(𝑠𝑠)
The transfer function is
𝑅𝑅(𝑠𝑠)

1𝐺𝐺 𝐺𝐺2

20
(A)1+𝐺𝐺
1 𝐺𝐺2
(B)𝐺𝐺1 𝐺𝐺2 + 𝐺𝐺1 + 1
(C)𝐺𝐺1 𝐺𝐺2 + 𝐺𝐺2 + 1
𝐺𝐺
(D)1+𝐺𝐺1 𝐺𝐺
1 2
E
Q.21 The input −3e2𝑡𝑡 𝑢𝑢(𝑡𝑡), where 𝑢𝑢(𝑡𝑡) is the unit step function, is applied to a system with transfer
AT
𝑠𝑠−2
function 𝑠𝑠+3. If the initial value of the output is −2, then the value of the output at steady state is
_______.
(G

Q.22 The phase response of a passband waveform at the receiver is given by


𝜑𝜑(𝑓𝑓) = −2𝜋𝜋𝜋𝜋(𝑓𝑓 − 𝑓𝑓𝑐𝑐 ) − 2𝜋𝜋𝜋𝜋𝑓𝑓𝑐𝑐
where 𝑓𝑓𝑐𝑐 is the centre frequency, and 𝛼𝛼 and 𝛽𝛽 are positive constants. The actual signal propagation
03

delay from the transmitter to receiver is


𝛼𝛼 −𝛽𝛽 𝛼𝛼𝛼𝛼
(A) 𝛼𝛼 +𝛽𝛽 (B) 𝛼𝛼+𝛽𝛽
(C) 𝛼𝛼 (D) 𝛽𝛽
EC

Q.23 Consider an FM signal 𝑓𝑓(𝑡𝑡) = cos[2𝜋𝜋𝑓𝑓𝑐𝑐 𝑡𝑡 + 𝛽𝛽1 sin 2𝜋𝜋𝑓𝑓1 𝑡𝑡 + 𝛽𝛽2 sin 2𝜋𝜋𝑓𝑓2 𝑡𝑡 ]. The maximum deviation
of the instantaneous frequency from the carrier frequency 𝑓𝑓𝑐𝑐 is
(A) 𝛽𝛽1 𝑓𝑓1 + 𝛽𝛽2 𝑓𝑓2 (B) 𝛽𝛽1 𝑓𝑓2 + 𝛽𝛽2 𝑓𝑓1
(C) 𝛽𝛽1 + 𝛽𝛽2 (D) 𝑓𝑓1 + 𝑓𝑓2

Q.24 Consider an air filled rectangular waveguide with a cross-section of 5 cm × 3 cm. For this
waveguide, the cut-off frequency (in MHz) of TE21 mode is _________.

EC 5/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.25 In the following figure, the transmitter Tx sends a wideband modulated RF signal via a coaxial
cable to the receiver Rx. The output impedance ZT of Tx, the characteristic impedance Z0 of the
cable and the input impedance ZR of Rx are all real.

Which one of the following statements is TRUE about the distortion of the received signal due to
impedance mismatch?

)
(A) The signal gets distorted if ZR ≠ Z0, irrespective of the value of ZT

14
(B) The signal gets distorted if ZT ≠ Z0, irrespective of the value of ZR
(C) Signal distortion implies impedance mismatch at both ends: ZT ≠ Z0 and ZR ≠ Z0
(D) Impedance mismatches do NOT result in signal distortion but reduce power transfer efficiency

20
Q. 26 – Q. 55 carry two marks each.

Q.26 The maximum value of 𝑓𝑓(𝑥𝑥) = 2𝑥𝑥 3 − 9𝑥𝑥 2 + 12𝑥𝑥 − 3 in the interval 0 ≤ 𝑥𝑥 ≤ 3 is _______.
E
Q.27 Which one of the following statements is NOT true for a square matrix 𝐴𝐴?
(A) If 𝐴𝐴 is upper triangular, the eigenvalues of 𝐴𝐴 are the diagonal elements of it
AT
(B) If 𝐴𝐴 is real symmetric, the eigenvalues of 𝐴𝐴 are always real and positive
(C) If 𝐴𝐴 is real, the eigenvalues of 𝐴𝐴 and 𝐴𝐴𝑇𝑇 are always the same
(D) If all the principal minors of 𝐴𝐴 are positive, all the eigenvalues of 𝐴𝐴 are also positive
(G

Q.28 A fair coin is tossed repeatedly till both head and tail appear at least once. The average number of
tosses required is ______ .

Q.29 Let X1, X2, and X3 be independent and identically distributed random variables with the uniform
distribution on [0, 1]. The probability P{X1+ X2≤ X3} is ________.
03
EC

EC 6/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.30 Consider the building block called ‘Network N’ shown in the figure.
Let C = 100 µF and R = 10 kΩ.
Network N

+ +
C
V1(s) R V2(s)

- -

Two such blocks are connected in cascade, as shown in the figure.

)
+ + +

14
C
V1(s) R N
Network Network N V3(s)

- - -

20
𝑉𝑉 (𝑠𝑠)
The transfer function 𝑉𝑉3 (𝑠𝑠) of the cascaded network is
1
𝑠𝑠 𝑠𝑠 2 2 𝑠𝑠
(A) 𝑠𝑠 (D)
1+𝑠𝑠 (B) 1+3𝑠𝑠+𝑠𝑠 2 E (C) �1+𝑠𝑠� 2+𝑠𝑠

Q.31 In the circuit shown in the figure, the value of node voltage 𝑉𝑉2 is
AT
(G
03

(A) 22 + j 2 V (B) 2 + j 22 V (C) 22 – j 2 V (D) 2 – j 22 V


EC

Q.32 In the circuit shown in the figure, the angular frequency ω (in rad/s), at which the Norton equivalent
impedance as seen from terminals b-b′ is purely resistive, is _________.

EC 7/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.33 For the Y-network shown in the figure, the value of R1 (in Ω) in the equivalent ∆-network is ____.

R1

5Ω 3Ω

7.5 Ω

)
14
The donor and accepter impurities in an abrupt junction silicon diode are 1 x 1016 cm-3 and 5 x 1018

20
Q.34
cm-3, respectively. Assume that the intrinsic carrier concentration in silicon ni = 1.5 x 1010 cm-3 at
𝑘𝑘𝑘𝑘
300 K, = 26 mV and the permittivity of silicon 𝜖𝜖𝑠𝑠𝑠𝑠 = 1.04 × 10−12 F/cm. The built-in potential
𝑞𝑞
and the depletion width of the diode under thermal equilibrium conditions, respectively, are
E
(A) 0.7 V and 1 x 10-4 cm
(B) 0.86 V and 1 x 10-4 cm
AT
(C) 0.7 V and 3.3 x 10-5 cm
(D) 0.86 V and 3.3 x 10-5 cm

Q.35 The slope of the 𝐼𝐼𝐷𝐷 vs. 𝑉𝑉𝐺𝐺𝐺𝐺 curve of an n-channel MOSFET in linear regime is 10−3 Ω−1 at
(G

𝑉𝑉𝐷𝐷𝐷𝐷 = 0.1 V. For the same device, neglecting channel length modulation, the slope of the �𝐼𝐼𝐷𝐷 vs.
𝑉𝑉𝐺𝐺𝐺𝐺 curve (in √A/V) under saturation regime is approximately _________.

Q.36 An ideal MOS capacitor has boron doping-concentration of 1015 cm-3 in the substrate. When a gate
03

voltage is applied, a depletion region of width 0.5 µm is formed with a surface (channel) potential
of 0.2 V. Given that ε0 = 8.854 × 10-14 F/cm and the relative permittivities of silicon and silicon
dioxide are 12 and 4, respectively, the peak electric field (in V/µm) in the oxide region is
_____________.
EC

EC 8/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.37 In the circuit shown, the silicon BJT has β = 50. Assume VBE = 0.7 V and VCE(sat) = 0.2 V. Which
one of the following statements is correct?

(A) For RC = 1 kΩ, the BJT operates in the saturation region


(B) For RC = 3 kΩ, the BJT operates in the saturation region
(C) For RC =20 kΩ, the BJT operates in the cut-off region

)
(D) For RC =20 kΩ, the BJT operates in the linear region

14
Q.38 Assuming that the Op-amp in the circuit shown is ideal, Vo is given by

20
E
AT
5 5
(A) V
2 1
− 3V2 (B) 2V1 − 2 V2

3 7 11
(C) − V1 + V2 (D) −3V1 + V
2 2 2 2
(G

Q.39 For the MOSFET M1 shown in the figure, assume W/L = 2, VDD = 2.0 V, 𝜇𝜇𝑛𝑛 𝐶𝐶𝑜𝑜𝑜𝑜 = 100 μA/V 2 and
VTH = 0.5 V. The transistor M1 switches from saturation region to linear region when Vin (in Volts)
is__________.
VDD
03

R= 10 kΩ
EC

Vout
Vin M1

EC 9/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.40 If WL is the Word Line and BL the Bit Line, an SRAM cell is shown in

(A) (B)

)
14
(C) (D)

20
E
AT

Q.41 In the circuit shown, 𝑊𝑊 and 𝑌𝑌 are MSBs of the control inputs. The output 𝐹𝐹 is given by

4:1 MUX
(G

4:1 MUX

I0 I0

I1 I1 F
VCC Q Q
03

I2 I2

I3 I3
EC

W X Y Z

(A) 𝐹𝐹 = 𝑊𝑊𝑋𝑋� + 𝑊𝑊
� 𝑋𝑋 + 𝑌𝑌�𝑍𝑍̅
(B) �
𝐹𝐹 = 𝑊𝑊𝑋𝑋 + 𝑊𝑊� 𝑋𝑋 + 𝑌𝑌�𝑍𝑍
(C) � � � 𝑋𝑋𝑌𝑌�
𝐹𝐹 = 𝑊𝑊𝑋𝑋𝑌𝑌 + 𝑊𝑊
(D) � + 𝑋𝑋�)𝑌𝑌�𝑍𝑍̅
𝐹𝐹 = (𝑊𝑊

EC 10/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q.42 If X and Y are inputs and the Difference (D = X – Y) and the Borrow (B) are the outputs, which
one of the following diagrams implements a half-subtractor?

(A) (B)
Y I0 X I0
2:1 2:1
MUX D MUX D
I1 I1
S S
X Y
S S
Y I0 2:1 X I0 2:1
MUX
B MUX
B
I1 I1

)
(C) (D)

14
Y I0 X I0
2:1 2:1
MUX B MUX B
I1 I1
S S
X Y

20
S S
Y I0 2:1 X I0
D 2:1
MUX MUX
D
I1 I1

Q.43
E
Let 𝐻𝐻1 (𝑧𝑧) = (1 − 𝑝𝑝𝑧𝑧 −1 )−1 , 𝐻𝐻2 (𝑧𝑧) = (1 − 𝑞𝑞𝑧𝑧 −1 )−1 , 𝐻𝐻(𝑧𝑧) = 𝐻𝐻1 (𝑧𝑧) + 𝑟𝑟 𝐻𝐻2 (𝑧𝑧). The quantities 𝑝𝑝, 𝑞𝑞,
1 1
𝑟𝑟 are real numbers. Consider 𝑝𝑝 = , 𝑞𝑞 = − , |𝑟𝑟| < 1. If the zero of 𝐻𝐻(𝑧𝑧) lies on the unit circle,
AT
2 4
then 𝑟𝑟 = ________

Q.44
(G

1
Let ℎ(𝑡𝑡) denote the impulse response of a causal system with transfer function 𝑠𝑠+1. Consider the
following three statements.
S1: The system is stable.
ℎ (𝑡𝑡+1)
S2: is independent of 𝑡𝑡 for 𝑡𝑡 > 0.
ℎ (𝑡𝑡)
03

S3: A non-causal system with the same transfer function is stable.


For the above system,
(A) only S1 and S2 are true (B) only S2 and S3 are true
EC

(C) only S1 and S3 are true (D) S1, S2 and S3 are true

Q.45 1
The z-transform of the sequence 𝑥𝑥[𝑛𝑛] is given by X(𝑧𝑧) = (1−2 𝑧𝑧 −1 )2 , with the region of
convergence |𝑧𝑧| > 2. Then, 𝑥𝑥[2] is ________.

EC 11/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.46 The steady state error of the system shown in the figure for a unit step input is _______.

Q.47 The state equation of a second-order linear system is given by

)
𝒙𝒙̇ (𝑡𝑡) = 𝐴𝐴𝒙𝒙(𝑡𝑡), 𝒙𝒙(0) = 𝒙𝒙0

14
1 −𝑡𝑡 0 −𝑡𝑡 −2𝑡𝑡
For 𝒙𝒙0 = � � , 𝒙𝒙(𝑡𝑡) = � 𝑒𝑒 −𝑡𝑡 � and for 𝒙𝒙0 = � � , 𝒙𝒙(𝑡𝑡) = � 𝑒𝑒 −𝑡𝑡 − 𝑒𝑒 −2𝑡𝑡 �.
−1 −𝑒𝑒 1 −𝑒𝑒 + 2𝑒𝑒

3
When 𝒙𝒙0 = � �, 𝒙𝒙(𝑡𝑡) is

20
5
−𝑡𝑡 −2𝑡𝑡 −𝑡𝑡 −2𝑡𝑡
(A) �−8𝑒𝑒−𝑡𝑡 + 11𝑒𝑒−2𝑡𝑡 � (B) � 11𝑒𝑒 −𝑡𝑡 − 8𝑒𝑒 −2𝑡𝑡 �
8𝑒𝑒 − 22𝑒𝑒 −11𝑒𝑒 + 16𝑒𝑒
−𝑡𝑡 −2𝑡𝑡 −𝑡𝑡 −2𝑡𝑡
(C) � 3𝑒𝑒 −𝑡𝑡 − 5𝑒𝑒 −2𝑡𝑡 � (D) � 5𝑒𝑒 −𝑡𝑡− 3𝑒𝑒 −2𝑡𝑡 �
−3𝑒𝑒 + 10𝑒𝑒 −5𝑒𝑒 + 6𝑒𝑒
E
AT
Q.48 In the root locus plot shown in the figure, the pole/zero marks and the arrows have been removed.
Which one of the following transfer functions has this root locus?
(G
03

𝑠𝑠+1 𝑠𝑠+4
(A) (𝑠𝑠+2)(𝑠𝑠+4)(𝑠𝑠+7)
(B) (𝑠𝑠+1)(𝑠𝑠+2)(𝑠𝑠+7)
EC

𝑠𝑠+7 (𝑠𝑠+1)(𝑠𝑠+2)
(C) (𝑠𝑠+1)(𝑠𝑠+2)(𝑠𝑠+4)
(D) (𝑠𝑠+7)(𝑠𝑠+4)

Q.49 Let 𝑋𝑋(𝑡𝑡) be a wide sense stationary (WSS) random process with power spectral density 𝑆𝑆𝑋𝑋 (𝑓𝑓). If
𝑌𝑌(𝑡𝑡) is the process defined as 𝑌𝑌(𝑡𝑡) = 𝑋𝑋(2𝑡𝑡 − 1), the power spectral density 𝑆𝑆𝑌𝑌 (𝑓𝑓) is
𝑓𝑓 𝑓𝑓
(A) 𝑆𝑆𝑌𝑌 (𝑓𝑓) = 1
2
𝑆𝑆𝑋𝑋 �2 � 𝑒𝑒 −𝑗𝑗𝑗𝑗𝑗𝑗 (B) 𝑆𝑆𝑌𝑌 (𝑓𝑓) = 1
2
𝑆𝑆𝑋𝑋 �2 � 𝑒𝑒 −𝑗𝑗𝑗𝑗𝑗𝑗 /2
𝑓𝑓 𝑓𝑓
(C) 𝑆𝑆𝑌𝑌 (𝑓𝑓) = 1
2
𝑆𝑆𝑋𝑋 �2 � (D) 𝑆𝑆𝑌𝑌 (𝑓𝑓) = 1
2
𝑆𝑆𝑋𝑋 �2 � 𝑒𝑒 −𝑗𝑗 2𝜋𝜋𝜋𝜋

EC 12/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.50 A real band-limited random process 𝑋𝑋(𝑡𝑡) has two-sided power spectral density
−6 (3000 − |𝑓𝑓|) Watts/Hz for |𝑓𝑓| ≤ 3 kHz
𝑆𝑆𝑋𝑋 (𝑓𝑓) = � 10
0 otherwise
where 𝑓𝑓 is the frequency expressed in Hz. The signal 𝑋𝑋(𝑡𝑡) modulates a carrier cos 16000 𝜋𝜋𝜋𝜋 and
the resultant signal is passed through an ideal band-pass filter of unity gain with centre frequency of
8 kHz and band-width of 2 kHz. The output power (in Watts) is _______.

Q.51 In a PCM system, the signal 𝑚𝑚(𝑡𝑡) = {sin(100𝜋𝜋𝜋𝜋) + cos(100𝜋𝜋𝜋𝜋)} V is sampled at the Nyquist rate.
The samples are processed by a uniform quantizer with step size 0.75 V. The minimum data rate of
the PCM system in bits per second is _____.

)
14
Q.52 A binary random variable 𝑋𝑋 takes the value of 1 with probability 1⁄3. 𝑋𝑋 is input to a cascade of 2
independent identical binary symmetric channels (BSCs) each with crossover probability 1⁄2. The
output of BSCs are the random variables 𝑌𝑌1 and 𝑌𝑌2 as shown in the figure.

20
X Y1 Y2
BSC BSC

The value of 𝐻𝐻(𝑌𝑌1 ) + 𝐻𝐻(𝑌𝑌2 ) in bits is _____.


E
Q.53 Given the vector 𝑨𝑨 = (cos 𝑥𝑥)(sin 𝑦𝑦)𝑎𝑎�𝑥𝑥 + (sin 𝑥𝑥)(cos 𝑦𝑦)𝑎𝑎�𝑦𝑦 , where𝑎𝑎�𝑥𝑥 , 𝑎𝑎�𝑦𝑦 denote unit vectors along
AT
x,y directions, respectively. The magnitude of curl of 𝑨𝑨 is ________

Q.54 A region shown below contains a perfect conducting half-space and air. The surface current ����⃗ 𝐾𝐾𝑠𝑠 on
(G

the surface of the perfect conductor is ����⃗ �⃗ field in the air


𝐾𝐾𝑠𝑠 = 𝑥𝑥�2 amperes per meter. The tangential 𝐻𝐻
just above the perfect conductor is
y
03

����⃗
𝐾𝐾𝑠𝑠 Air
x
EC

Perfect conductor

(A) (𝑥𝑥� + 𝑧𝑧̂ )2 amperes per meter


(B) 𝑥𝑥�2 amperes per meter
(C) −𝑧𝑧̂ 2 amperes per meter
(D) 𝑧𝑧̂ 2 amperes per meter

EC 13/14
GATE 2014 SET3 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q.55 =
Assume that a plane wave in air with an electric field ( )
E 10 cos ωt − 3 x − 3 z aˆ y V/m is
incident on a non-magnetic dielectric slab of relative permittivity 3 which covers the region z > 0 .
The angle of transmission in the dielectric slab is ________ degrees.

END OF THE QUESTION PAPER

)
14
20
E
AT
(G
03
EC

EC 14/14
GATE 2014
 Answer Keys for EC ‐ Electronics and Communication Engineering
SESSION ‐ ϯ SESSION ‐ ϯ
Section Q. No. Section Q. No.
Key / Range Marks Key / Range Marks
GA 1 C 1 EC 24 7750 to 7850 1
GA 2 B 1 EC 25 C 1
GA 3 B 1 EC 26 5.9 to 6.1 2
GA 4 16 to 16 1 EC 27 B 2
GA 5 D 1 EC 28 2.9 to 3.1 2
GA 6 B 2 EC 29 0.15 to 0.18 2
GA 7 D 2 EC 30 B 2
GA 8 4 to 4 2 EC 31 D 2
GA 9 20000 to 20000 2 EC 32 1.9 to 2.1 2
GA 10 0.80 to 0.82 2 EC 33 9 to 11 2
EC 1 ‐0.01 to 0.01 1 EC 34 D 2
EC 2 A 1 EC 35 0.06 to 0.08 2
EC 3 B 1 EC 36 2.3 to 2.5 2
EC 4 C 1 EC 37 B 2
EC 5 C 1 EC 38 D 2
EC 6 A 1 EC 39 1.4 to 1.6 2
EC 7 0.49 to 0.51 1 EC 40 B 2
EC 8 C 1 EC 41 C 2
EC 9 D 1 EC 42 A 2
EC 10 12.9 to 13.1 1 EC 43 ‐0.6 to ‐0.4 2
EC 11 A 1 EC 44 A 2
EC 12 1.04 to 1.12 1 EC 45 11.9 to 12.1 2
EC 13 0.08 to 0.12 1 EC 46 0.49 to 0.51 2
EC 14 0.24 to 0.26 1 EC 47 B 2
EC 15 D 1 EC 48 B 2
EC 16 D 1 EC 49 C 2
EC 17 A 1 EC 50 2.4 to 2.6 2
EC 18 B 1 EC 51 199 to 201 2
EC 19 9.5 to 10.5 1 EC 52 1.9 to 2.1 2
EC 20 C 1 EC 53 ‐0.01 to 0.01 2
EC 21 ‐0.01 to 0.01 1 EC 54 D 2
EC 22 C 1 EC 55 29 to 31 2
EC 23 A 1
SESSION - 4
GATE 2014: General Instructions during Examination

1. Total duration of the GATE examination is 180 minutes.


2. The clock will be set at the server. The countdown timer at the top right corner of
screen will display the remaining time available for you to complete the examination.
When the timer reaches zero, the examination will end by itself. You need not
terminate the examination or submit your paper.
3. Any useful data required for your paper can be viewed by clicking on the Useful
Common Data button that appears on the screen.
4. Use the scribble pad provided to you for any rough work. Submit the scribble pad at
the end of the examination.
5. You are allowed to use a non-programmable type calculator, however, sharing of
calculators is not allowed.
6. The Question Palette displayed on the right side of screen will show the status of
each question using one of the following symbols:

The Marked for Review status for a question simply indicates that you would like to look at
that question again. If a question is answered, but marked for review, then the answer will
be considered for evaluation unless the status is modified by the candidate.

Navigating to a Question :

7. To answer a question, do the following:


a. Click on the question number in the Question Palette to go to that question
directly.
b. Select an answer for a multiple choice type question by clicking on the bubble
placed before the 4 choices, namely A, B, C and D. Use the virtual numeric
keypad to enter a number as answer for a numerical type question.
c. Click on Save & Next to save your answer for the current question and then go
to the next question.
d. Click on Mark for Review & Next to save your answer for the current question
and also mark it for review, and then go to the next question.
Caution: Note that your answer for the current question will not be saved, if you navigate
to another question directly by clicking on a question number without saving the answer to
the previous question.

You can view all the questions by clicking on the Question Paper button. This feature is
provided, so that if you want you can just see the entire question paper at a glance.

Answering a Question :

8. Procedure for answering a multiple choice (MCQ) type question:


a. Choose one answer from the 4 options (A,B,C,D) given below the question,
click on the bubble placed before the chosen option.
b. To deselect your chosen answer, click on the bubble of the chosen option again
or click on the Clear Response button.
c. To change your chosen answer, click on the bubble of another option.
d. To save your answer, you MUST click on the Save & Next button.

9. Procedure for answering a numerical answer type question:


a. To enter a number as your answer, use the virtual numerical keypad.
b. A fraction (e.g. -0.3 or -.3) can be entered as an answer with or without '0'
before the decimal point. As many as four decimal points, e.g. 12.5435 or
0.003 or -932.6711 or 12.82 can be entered.
c. To clear your answer, click on the Clear Response button.
d. To save your answer, you MUST click on the Save & Next button
10. To mark a question for review, click on the Mark for Review & Next button. If an
answer is selected (for MCQ) or entered (for numerical answer type) for a question
that is Marked for Review, that answer will be considered in the evaluation unless
the status is modified by the candidate.

11. To change your answer to a question that has already been answered, first select
that question for answering and then follow the procedure for answering that type of
question.
12. Note that ONLY Questions for which answers are saved or marked for review after
answering will be considered for evaluation.

Choosing a Section :

13. Sections in this question paper are displayed on the top bar of the screen. Questions
in a Section can be viewed by clicking on the name of that Section. The Section you
are currently viewing will be highlighted.
14. A checkbox is displayed for every optional Section, if any, in the Question Paper. To
select the optional Section for answering, click on the checkbox for that Section.
15. If the checkbox for an optional Section is not selected, the Save & Next button and
the Mark for Review & Next button will NOT be enabled for that Section. You will
only be able to see questions in this Section, but you will not be able to answer
questions in the Section.
16. After clicking the Save & Next button for the last question in a Section, you will
automatically be taken to the first question of the next Section in sequence.
17. You can move the mouse cursor over the name of a Section to view the answering
status for that Section.

Changing the Optional Section :

18. After answering the chosen optional Section, partially or completely, you can change
the optional Section by selecting the checkbox for a new Section that you want to
attempt. A warning message will appear along with a table showing the number of
questions answered in each of the previously chosen optional Sections and a
checkbox against each of these Sections. Click on a checkbox against a Section that
you want to reset and then click on the RESET button. Note that RESETTING a Section
will DELETE all the answers for questions in that Section. Hence, if you think that you
may want to select this Section again later, you will have to note down your answers
for questions in that Section. If you do not want to reset the Section and want to
continue answering the previously chosen optional Section, then click on the BACK
button.

19. If you deselect the checkbox for an optional Section in the top bar, the following
warning message will appear: "Deselecting the checkbox will DELETE all the answers
for questions in this Section. Do you want to deselect this Section?” If you want to
deselect, click on the RESET button. If you do not want to deselect, click on the BACK
button.

20. You can shuffle between different Sections or change the optional Sections any
number of times.
GATE 2014 Examination
EC: Electronics & Communications Engineering
Duration: 180 minutes Maximum Marks: 100

Read the following instructions carefully.

1. To login, enter your Registration Number and password provided to you. Kindly go through the various
symbols used in the test and understand their meaning before you start the examination.
2. Once you login and after the start of the examination, you can view all the questions in the question
paper, by clicking on the View All Questions button in the screen.
3. This question paper consists of 2 sections, General Aptitude (GA) for 15 marks and the subject
specific GATE paper for 85 marks. Both these sections are compulsory.
The GA section consists of 10 questions. Question numbers 1 to 5 are of 1-mark each, while question
numbers 6 to 10 are of 2-mark each.
The subject specific GATE paper section consists of 55 questions, out of which question numbers 1 to
25 are of 1-mark each, while question numbers 26 to 55 are of 2-mark each.
4. Depending upon the GATE paper, there may be useful common data that may be required for
answering the questions. If the paper has such useful data, the same can be viewed by clicking on the
Useful Common Data button that appears at the top, right hand side of the screen.
5. The computer allotted to you at the examination center runs specialized software that permits only one
answer to be selected for multiple-choice questions using a mouse and to enter a suitable number for
the numerical answer type questions using the virtual keyboard and mouse.
6. Your answers shall be updated and saved on a server periodically and also at the end of the
examination. The examination will stop automatically at the end of 180 minutes.
7. In each paper a candidate can answer a total of 65 questions carrying 100 marks.
8. The question paper may consist of questions of multiple choice type (MCQ) and numerical answer
type.
9. Multiple choice type questions will have four choices against A, B, C, D, out of which only ONE is the
correct answer. The candidate has to choose the correct answer by clicking on the bubble (⃝) placed
before the choice.
10. For numerical answer type questions, each question will have a numerical answer and there will not be
any choices. For these questions, the answer should be enteredby using the virtual keyboard that
appears on the monitor and the mouse.
11. All questions that are not attempted will result in zero marks. However, wrong answers for multiple
choice type questions (MCQ) will result in NEGATIVE marks. For all MCQ questions a wrong
answer will result in deduction of⅓ marks for a 1-mark question and ⅔ marks for a 2-mark question.
12. There is NO NEGATIVE MARKING for questions of NUMERICAL ANSWER TYPE.
13. Non-programmable type Calculator is allowed. Charts, graph sheets, and mathematical tables are NOT
allowed in the Examination Hall. You must use the Scribble pad provided to you at the examination
centre for all your rough work. The Scribble Pad has to be returned at the end of the examination.

Declaration by the candidate:

“I have read and understood all the above instructions. I have also read and understood clearly the
instructions given on the admit card and shall follow the same. I also understand that in case I am found to
violate any of these instructions, my candidature is liable to be cancelled. I also confirm that at the start of
the examination all the computer hardware allotted to me are in proper working condition”.
GATE 2014 SET- 6 General Aptitude -GA

Q. 1 – Q. 5 carry one mark each.


Q.1 Which of the following options is the closest in meaning to the word underlined in the sentence
below?

In a democracy, everybody has the freedom to disagree with the government.


(A) dissent (B) descent (C) decent (D) decadent

Q.2 After the discussion, Tom said to me, 'Please revert!'. He expects me to _________.
(A) retract (B) get back to him (C) move in reverse (D) retreat

Q.3 While receiving the award, the scientist said, "I feel vindicated". Which of the following is closest

)
in meaning to the word ‘vindicated’?

14
(A) punished (B) substantiated (C) appreciated (D) chastened

Q.4 Let 𝑓𝑓(𝑥𝑥, 𝑦𝑦) = 𝑥𝑥 𝑛𝑛 𝑦𝑦 𝑚𝑚 = 𝑃𝑃. If x is doubled and y is halved, the new value of f is
(A) 2𝑛𝑛−𝑚𝑚 𝑃𝑃 (B) 2𝑚𝑚 −𝑛𝑛 𝑃𝑃 (C) 2(𝑛𝑛 − 𝑚𝑚)𝑃𝑃 (D) 2(𝑚𝑚 − 𝑛𝑛)𝑃𝑃

20
Q.5 In a sequence of 12 consecutive odd numbers, the sum of the first 5 numbers is 425. What is the
sum of the last 5 numbers in the sequence?
E
Q. 6 – Q. 10 carry two marks each.
AT

Q.6 Find the next term in the sequence: 13M, 17Q, 19S, ___
(G

(A) 21W (B) 21V (C) 23W (D) 23V

Q.7 If ‘KCLFTSB’ stands for ‘best of luck’ and ‘SHSWDG’ stands for ‘good wishes’, which of the
following indicates ‘ace the exam’?
(A) MCHTX (B) MXHTC (C) XMHCT (D) XMHTC
6

Q.8 Industrial consumption of power doubled from 2000-2001 to 2010-2011. Find the annual rate of
A0

increase in percent assuming it to be uniform over the years.

(A) 5.6 (B) 7.2 (C) 10.0 (D) 12.2


G

GA 1/2
GATE 2014 SET- 6 General Aptitude -GA
Q.9 A firm producing air purifiers sold 200 units in 2012. The following pie chart presents the share of
raw material, labour, energy, plant & machinery, and transportation costs in the total manufacturing
cost of the firm in 2012. The expenditure on labour in 2012 is Rs. 4,50,000. In 2013, the raw
material expenses increased by 30% and all other expenses increased by 20%. What is the
percentage increase in total cost for the company in 2013?

)
14
20
E
AT
Q.10 A five digit number is formed using the digits 1,3,5,7 and 9 without repeating any of them. What is
the sum of all such possible five digit numbers?
(A) 6666660 (B) 6666600 (C) 6666666 (D) 6666606
(G

END OF THE QUESTION PAPER


6
A0
G

GA 2/2
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q. 1 – Q. 25 carry one mark each.


1
Q.1 The series ∑∞
𝑛𝑛=0 𝑛𝑛! converges to

(A) 2 ln 2 (B) √2 (C) 2 (D) 𝑒𝑒

Q.2 The magnitude of the gradient for the function 𝑓𝑓(𝑥𝑥, 𝑦𝑦, 𝑧𝑧) = 𝑥𝑥 2 + 3𝑦𝑦 2 + 𝑧𝑧 3 at the point (1,1,1)
is _________.

Q.3 Let 𝑋𝑋 be a zero mean unit variance Gaussian random variable. 𝐸𝐸[|𝑋𝑋|] is equal to _____

Q.4 If 𝑎𝑎 and 𝑏𝑏 are constants, the most general solution of the differential equation

)
𝑑𝑑2 𝑥𝑥 𝑑𝑑𝑑𝑑
+2 + 𝑥𝑥 = 0 is

14
𝑑𝑑𝑡𝑡 2 𝑑𝑑𝑑𝑑
(A) 𝑎𝑎𝑒𝑒 −𝑡𝑡 (B) 𝑎𝑎𝑒𝑒 −𝑡𝑡 + 𝑏𝑏𝑏𝑏𝑒𝑒 −𝑡𝑡 (C) 𝑎𝑎𝑎𝑎 𝑡𝑡 + 𝑏𝑏𝑏𝑏𝑏𝑏 −𝑡𝑡 (D) 𝑎𝑎𝑎𝑎 −2𝑡𝑡

Q.5 xy
( x + y ) at (1, 1) in the direction of the unit vector at an

20
The directional derivative of f (=
x, y )
2
π
angle of with y-axis, is given by ______ .
4 E
Q.6 The circuit shown in the figure represents a
AT

Ii AIIi R
(G
04

(A) voltage controlled voltage source


(B) voltage controlled current source
(C) current controlled current source
(D) current controlled voltage source
EC

Q.7 The magnitude of current (in mA) through the resistor R2 in the figure shown is_______.

EC 1/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.8 At T = 300 K, the band gap and the intrinsic carrier concentration of GaAs are 1.42 eV and
106 cm-3, respectively. In order to generate electron hole pairs in GaAs, which one of the
wavelength (λC) ranges of incident radiation, is most suitable? (Given that: Plank’s constant is
6.62 × 10-34 J-s, velocity of light is 3 × 1010 cm/s and charge of electron is 1.6 × 10-19 C)
(A) 0.42 µm < λC < 0.87 µm (B) 0.87 µm < λC < 1.42 µm
(C) 1.42 µm < λC < 1.62 µm (D) 1.62 µm < λC < 6.62 µm

Q.9 In the figure, ln (ρi) is plotted as a function of 1/T, where ρi is the intrinsic resistivity of silicon, T
is the temperature, and the plot is almost linear.

ln (ρi)

)
14
1/T

20
The slope of the line can be used to estimate
(A) band gap energy of silicon (Eg)
(B) sum of electron and hole mobility in silicon (µn + µp)
(C) reciprocal of the sum of electron and hole mobility in silicon (µn + µp) -1
(D) intrinsic carrier concentration of silicon (ni)
E
AT
Q.10 The cut-off wavelength (in µm) of light that can be used for intrinsic excitation of a semiconductor
material of bandgap Eg= 1.1 eV is ________

Q.11 If the emitter resistance in a common-emitter voltage amplifier is not bypassed, it will
(G

(A) reduce both the voltage gain and the input impedance
(B) reduce the voltage gain and increase the input impedance
(C) increase the voltage gain and reduce the input impedance
(D) increase both the voltage gain and the input impedance
04

Q.12 Two silicon diodes, with a forward voltage drop of 0.7 V, are used in the circuit shown in the
figure. The range of input voltage 𝑉𝑉𝑖𝑖 for which the output voltage 𝑉𝑉𝑜𝑜 = 𝑉𝑉𝑖𝑖 , is
R
EC

Vi D1 D2
VO
-1 V 2V

(A) −0.3 𝑉𝑉 < 𝑉𝑉𝑖𝑖 < 1.3 𝑉𝑉


(B) −0.3 𝑉𝑉 < 𝑉𝑉𝑖𝑖 < 2 𝑉𝑉
(C) −1.0 𝑉𝑉 < 𝑉𝑉𝑖𝑖 < 2.0 𝑉𝑉
(D) −1.7 𝑉𝑉 < 𝑉𝑉𝑖𝑖 < 2.7 𝑉𝑉

EC 2/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.13 The circuit shown represents

C2 +12 V
vi –
vo
R2 +
–12 V
R1

–2 V C1

(A) a bandpass filter (B) a voltage controlled oscillator


(C) an amplitude modulator (D) a monostable multivibrator

)
14
Q.14 For a given sample-and-hold circuit, if the value of the hold capacitor is increased, then
(A) droop rate decreases and acquisition time decreases
(B) droop rate decreases and acquisition time increases
(C) droop rate increases and acquisition time decreases

20
(D) droop rate increases and acquisition time increases

Q.15 In the circuit shown in the figure, if 𝐶𝐶 = 0, the expression for 𝑌𝑌 is


E
AT
(G

(A) 𝑌𝑌 = 𝐴𝐴 𝐵𝐵� + 𝐴𝐴̅ 𝐵𝐵 (B) 𝑌𝑌 = 𝐴𝐴 + 𝐵𝐵 (C) 𝑌𝑌 = 𝐴𝐴̅ + 𝐵𝐵� (D) 𝑌𝑌 = 𝐴𝐴 𝐵𝐵


04
EC

EC 3/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.16 The output (Y) of the circuit shown in the figure is

VDD

A B C

Output (Y)
A

)
14
B

20
C

(A) A+B+C
E
(B) A + B⋅C + A⋅C
AT
(C) A+B+ C
(D) A ⋅B⋅ C
(G

Q.17 A Fourier transform pair is given by

2 𝑛𝑛 𝐹𝐹𝐹𝐹 𝐴𝐴 𝑒𝑒 −𝑗𝑗 6𝜋𝜋𝜋𝜋


� � 𝑢𝑢[𝑛𝑛 + 3] ⇔
3 2
1 − �3� 𝑒𝑒 −𝑗𝑗 2𝜋𝜋𝜋𝜋
04

where 𝑢𝑢[𝑛𝑛] denotes the unit step sequence. The values of 𝐴𝐴 is _________.
EC

𝑊𝑊
Q.18 A real-valued signal 𝑥𝑥(𝑡𝑡) limited to the frequency band |𝑓𝑓| ≤ is passed through a linear time
2
invariant system whose frequency response is

𝑊𝑊
𝑒𝑒 −𝑗𝑗 4𝜋𝜋𝜋𝜋 , |𝑓𝑓| ≤
𝐻𝐻(𝑓𝑓) = � 2 .
𝑊𝑊
0, |𝑓𝑓| >
2

The output of the system is

(A) 𝑥𝑥(𝑡𝑡 + 4) (B) 𝑥𝑥(𝑡𝑡 − 4) (C) 𝑥𝑥(𝑡𝑡 + 2) (D) 𝑥𝑥(𝑡𝑡 − 2)

EC 4/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.19 The sequence 𝑥𝑥[𝑛𝑛] = 0.5𝑛𝑛 𝑢𝑢[𝑛𝑛] , where 𝑢𝑢[𝑛𝑛] is the unit step sequence, is convolved with itself to
obtain 𝑦𝑦[𝑛𝑛]. Then ∑+ ∞
𝑛𝑛= − ∞ 𝑦𝑦[𝑛𝑛] is _______.

Q.20 In a Bode magnitude plot, which one of the following slopes would be exhibited at high
frequencies by a 4th order all-pole system?
(A) – 80 dB/decade (B) – 40 dB/decade (C) +40 dB/decade (D) +80 dB/decade

Q.21 For the second order closed-loop system shown in the figure, the natural frequency (in rad/s) is

)
U(s) Y(s)
+ 4

14
s(s+4)
-

20
(A) 16 (B) 4 E (C) 2 (D) 1

Q.22 If calls arrive at a telephone exchange such that the time of arrival of any call is independent of the
AT
time of arrival of earlier or future calls, the probability distribution function of the total number of
calls in a fixed time interval will be
(A) Poisson (B) Gaussian (C) Exponential (D) Gamma

Q.23 In a double side-band (DSB) full carrier AM transmission system, if the modulation index is
(G

doubled, then the ratio of total sideband power to the carrier power increases by a factor of ______.

Q.24 For an antenna radiating in free space, the electric field at a distance of 1 km is found to be 12
mV/m. Given that intrinsic impedance of the free space is 120π Ω , the magnitude of average
04

power density due to this antenna at a distance of 2 km from the antenna (in nW/m2 ) is ____.

Q.25 Match column A with column B.


EC

Column A Column B
1. Point electromagnetic source P. Highly directional
2. Dish antenna Q. End fire
3. Yagi-Uda antenna R. Isotropic
(A) (B) (C) (D)
1 → 𝑃𝑃 1 → 𝑅𝑅 1 → 𝑄𝑄 1 → 𝑅𝑅
2 → 𝑄𝑄 2 → 𝑃𝑃 2 → 𝑃𝑃 2 → 𝑄𝑄
3 → 𝑅𝑅 3 → 𝑄𝑄 3 → 𝑅𝑅 3 → 𝑃𝑃

EC 5/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q. 26 – Q. 55 carry two marks each.

Q.26 With initial values 𝑦𝑦(0) = 𝑦𝑦 ′ (0) = 1, the solution of the differential equation
𝑑𝑑2 𝑦𝑦 𝑑𝑑𝑑𝑑
2
+4 + 4𝑦𝑦 = 0
𝑑𝑑𝑥𝑥 𝑑𝑑𝑑𝑑
at 𝑥𝑥 = 1 is _____.

Q.27 Parcels from sender S to receiver R pass sequentially through two post-offices. Each post-office has
1
a probability of losing an incoming parcel, independently of all other parcels. Given that a parcel
5
is lost, the probability that it was lost by the second post-office is_________.

)
14
Q.28 1
The unilateral Laplace transform of 𝑓𝑓(𝑡𝑡) is 𝑠𝑠 2 +𝑠𝑠+1. Which one of the following is the unilateral
Laplace transform of 𝑔𝑔(𝑡𝑡) = 𝑡𝑡 ∙ 𝑓𝑓(𝑡𝑡)?
− 𝑠𝑠 −(2𝑠𝑠+1)

20
(A)(𝑠𝑠 2 +𝑠𝑠+1)2 (B)(𝑠𝑠 2 +𝑠𝑠+1)2
𝑠𝑠 2𝑠𝑠+1
(C)(𝑠𝑠 2 +𝑠𝑠+1)2 (D)(𝑠𝑠 2 +𝑠𝑠+1)2

Q.29
E
For a right angled triangle, if the sum of the lengths of the hypotenuse and a side is kept constant, in
order to have maximum area of the triangle, the angle between the hypotenuse and the side is
(A) 12o (B) 36o (C) 60o (D) 45o
AT
Q.30 The steady state output of the circuit shown in the figure is given by
𝑦𝑦(𝑡𝑡) = 𝐴𝐴(𝜔𝜔) sin( 𝜔𝜔𝜔𝜔 + 𝜙𝜙(𝜔𝜔)). If the amplitude | 𝐴𝐴(𝜔𝜔)| = 0.25 , then the frequency 𝜔𝜔 is
(G

R C y(t)

sin(ωt) C
04

C
EC

1 2 1 2
(A) (B) (C) (D)
√ 𝑅𝑅 𝐶𝐶
3 √ 𝑅𝑅 𝐶𝐶
3 𝑅𝑅 𝐶𝐶 𝑅𝑅 𝐶𝐶

Q.31 In the circuit shown in the figure, the value of v0 (t) (in Volts) for t → ∞ is ______.

ix

2H
+ 2ix +
10 u(t) A - 5Ω vo (t)
-
5Ω

EC 6/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC

Q.32 The equivalent resistance in the infinite ladder network shown in the figure, is Re.

The value of R e /R is ________

Q.33 For the two-port network shown in the figure, the impedance (Z) matrix (in Ω) is

)
14
20
6 24 9 8 9 6 42 6
(A) � � (B) � � (C) � � (D) � �
42 9 8 24 6 24 6 60

Q.34
E
Consider a silicon sample doped with ND = 1×1015/cm3 donor atoms. Assume that the intrinsic
carrier concentration ni = 1.5×1010/cm3. If the sample is additionally doped with NA = 1×1018/cm3
AT
acceptor atoms, the approximate number of electrons/cm3 in the sample, at T=300 K,
will be ______.
Q.35 Consider two BJTs biased at the same collector current with area A1 = 0.2 µm × 0.2 µm and
A2 = 300 µm × 300 µm. Assuming that all other device parameters are identical, kT/q = 26 mV,
(G

the intrinsic carrier concentration is 1 × 1010 cm-3, and q = 1.6 × 10-19 C, the difference between the
base-emitter voltages (in mV) of the two BJTs (i.e., VBE1 – VBE2) is _____.
04
EC

EC 7/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.36 An N-type semiconductor having uniform doping is biased as shown in the figure.

If EC is the lowest energy level of the conduction band, EV is the highest energy level of the valance
band and EF is the Fermi level, which one of the following represents the energy band diagram for
the biased N-type semiconductor?
(A) (B)

)
EC EC
EF

14
EF

EV EV

(C) (D)

20
EC
EF
EC
EF
EV E EV
AT
Q.37 Consider the common-collector amplifier in the figure (bias circuitry ensures that the transistor
operates in forward active region, but has been omitted for simplicity). Let IC be the collector
current, VBE be the base-emitter voltage and VT be the thermal voltage. Also, 𝑔𝑔𝑚𝑚 and 𝑟𝑟𝑜𝑜 are the
small-signal transconductance and output resistance of the transistor, respectively. Which one of the
(G

following conditions ensures a nearly constant small signal voltage gain for a wide range of values
of R E ?
04
EC

(A) 𝑔𝑔𝑚𝑚 R E ≪ 1 (B) IC R E ≫ VT (C) 𝑔𝑔𝑚𝑚 𝑟𝑟𝑜𝑜 ≫ 1 (D) VBE ≫ VT

Q.38 A BJT in a common-base configuration is used to amplify a signal received by a 50 Ω antenna.


Assume kT/q = 25 mV. The value of the collector bias current (in mA) required to match the input
impedance of the amplifier to the impedance of the antenna is________.

EC 8/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.39 For the common collector amplifier shown in the figure, the BJT has high β, negligible VCE(sat), and
VBE = 0.7 V. The maximum undistorted peak-to-peak output voltage vo (in Volts) is _______.

VCC = +12 V

R1
5 kΩ
1 µF
vi •
1 µF
R2 vo
10 kΩ
RE
1 kΩ

)
14
Q.40 An 8-to-1 multiplexer is used to implement a logical function 𝑌𝑌 as shown in the figure. The output
𝑌𝑌 is given by

20
E
AT
(G

(A) 𝑌𝑌 = 𝐴𝐴 𝐵𝐵� 𝐶𝐶 + 𝐴𝐴 𝐶𝐶̅ 𝐷𝐷 (B) 𝑌𝑌 = 𝐴𝐴̅ 𝐵𝐵 𝐶𝐶 + 𝐴𝐴 𝐵𝐵� 𝐷𝐷


(C) 𝑌𝑌 = 𝐴𝐴 𝐵𝐵 𝐶𝐶̅ + 𝐴𝐴̅ 𝐶𝐶 𝐷𝐷 (D) 𝑌𝑌 = 𝐴𝐴̅ 𝐵𝐵� 𝐷𝐷 + 𝐴𝐴 𝐵𝐵� 𝐶𝐶
04

Q.41 A 16-bit ripple carry adder is realized using 16 identical full adders (FA) as shown in the figure.
The carry-propagation delay of each FA is 12 ns and the sum-propagation delay of each FA is
15 ns. The worst case delay (in ns) of this 16-bit adder will be __________.

A0 B0 A1 B1 A14 B14 A15 B15


EC

C0 C1 C14 C15
FA0 FA1 FA14 FA15

S0 S1 S14 S15

Q.42 An 8085 microprocessor executes “STA 1234H” with starting address location 1FFEH (STA copies
the contents of the Accumulator to the 16-bit address location). While the instruction is fetched and
executed, the sequence of values written at the address pins A15 − A8 is
(A) 1FH, 1FH, 20H, 12H (B) 1FH, FEH, 1FH, FFH, 12H
(C) 1FH, 1FH, 12H, 12H (D) 1FH, 1FH, 12H, 20H, 12H

EC 9/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.43 1
A stable linear time invariant (LTI) system has a transfer function 𝐻𝐻(𝑠𝑠) = 𝑠𝑠 2 +𝑠𝑠−6. To make this
system causal it needs to be cascaded with another LTI system having a transfer function 𝐻𝐻1 (𝑠𝑠). A
correct choice for 𝐻𝐻1 (𝑠𝑠) among the following options is
(A) 𝑠𝑠 + 3 (B) 𝑠𝑠 − 2 (C) 𝑠𝑠 − 6 (D) 𝑠𝑠 + 1

Q.44 A causal LTI system has zero initial conditions and impulse response ℎ(𝑡𝑡). Its input 𝑥𝑥(𝑡𝑡) and
output 𝑦𝑦(𝑡𝑡) are related through the linear constant-coefficient differential equation

𝑑𝑑2 𝑦𝑦(𝑡𝑡) 𝑑𝑑𝑑𝑑(𝑡𝑡)


2
+ 𝛼𝛼 + 𝛼𝛼 2 𝑦𝑦(𝑡𝑡) = 𝑥𝑥(𝑡𝑡).
𝑑𝑑𝑡𝑡 𝑑𝑑𝑑𝑑

Let another signal 𝑔𝑔(𝑡𝑡) be defined as

)
14
𝑡𝑡
2
𝑑𝑑ℎ(𝑡𝑡)
𝑔𝑔(𝑡𝑡) = 𝛼𝛼 � ℎ(𝜏𝜏)𝑑𝑑𝑑𝑑 + + 𝛼𝛼ℎ(𝑡𝑡).
𝑑𝑑𝑑𝑑
0

20
If 𝐺𝐺(𝑠𝑠) is the Laplace transform of 𝑔𝑔(𝑡𝑡), then the number of poles of 𝐺𝐺(𝑠𝑠) is _____.

Q.45 The 𝑁𝑁-point DFT 𝑋𝑋 of a sequence 𝑥𝑥[𝑛𝑛], 0 ≤ 𝑛𝑛 ≤ 𝑁𝑁 − 1 is given by

𝑁𝑁−1

𝑋𝑋[𝑘𝑘] =
1
� 𝑥𝑥[𝑛𝑛]𝑒𝑒 −𝑗𝑗
E2𝜋𝜋
𝑁𝑁
𝑛𝑛𝑛𝑛
, 0 ≤ 𝑘𝑘 ≤ 𝑁𝑁 − 1.
√𝑁𝑁 𝑛𝑛=0
AT

Denote this relation as 𝑋𝑋 = 𝐷𝐷𝐷𝐷𝐷𝐷(𝑥𝑥). For 𝑁𝑁 = 4, which one of the following sequences satisfies
𝐷𝐷𝐷𝐷𝐷𝐷(𝐷𝐷𝐷𝐷𝐷𝐷(𝑥𝑥)) = 𝑥𝑥 ?
(A) 𝑥𝑥 = [1 2 3 4] (B) 𝑥𝑥 = [1 2 3 2]
(G

(C) 𝑥𝑥 = [1 3 2 2] (D) 𝑥𝑥 = [1 2 2 3]

Q.46 𝑥𝑥̇ 0 1 𝑥𝑥1


The state transition matrix 𝜙𝜙(t) of a system � 1 � = � � � � is
𝑥𝑥̇ 2 0 0 𝑥𝑥2
04

𝑡𝑡 1 1 0 0 1 1 𝑡𝑡
(A) � � (B) � � (C) � � (D) � �
1 0 𝑡𝑡 1 1 𝑡𝑡 0 1
EC

Q.47 𝑝𝑝𝑠𝑠 2 +3𝑝𝑝𝑝𝑝 −2


Consider a transfer function 𝐺𝐺𝑝𝑝 (𝑠𝑠) = with 𝑝𝑝 a positive real parameter. The
𝑠𝑠 2 +(3+𝑝𝑝)𝑠𝑠+(2−𝑝𝑝)
maximum value of 𝑝𝑝 until which 𝐺𝐺𝑝𝑝 remains stable is ________.

EC 10/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.48 The characteristic equation of a unity negative feedback system is 1 + 𝐾𝐾𝐾𝐾(𝑠𝑠) = 0. The open loop
transfer function 𝐺𝐺(𝑠𝑠) has one pole at 0 and two poles at -1. The root locus of the system for
varying K is shown in the figure.

ξ=0.5
A

)
(0,0)
σ

14
−1 −1/3 O

20
E
AT
The constant damping ratio line, for ξ=0.5, intersects the root locus at point A. The distance from
the origin to point A is given as 0.5. The value of 𝐾𝐾 at point A is ________ .
(G

Q.49 Consider a communication scheme where the binary valued signal X satisfies 𝑃𝑃{𝑋𝑋 = +1} = 0.75
and 𝑃𝑃{𝑋𝑋 = −1} = 0.25. The received signal Y = X + Z, where Z is a Gaussian random variable
with zero mean and variance 𝜎𝜎 2 . The received signal Y is fed to the threshold detector. The output
of the threshold detector 𝑋𝑋� is:

+1, 𝑌𝑌 > 𝜏𝜏
04

𝑋𝑋� = �
−1, 𝑌𝑌 ≤ 𝜏𝜏.

To achieve a minimum probability of error 𝑃𝑃�𝑋𝑋� ≠ 𝑋𝑋�, the threshold τ should be


EC

(A) strictly positive


(B) zero
(C) strictly negative
(D) strictly positive, zero, or strictly negative depending on the nonzero value of 𝜎𝜎 2

EC 11/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.50 Consider the Z-channel given in the figure. The input is 0 or 1 with equal probability.

1.0
0 0

INPUT 0.25 OUTPUT

1 1
0.75

If the output is 0, the probability that the input is also 0 equals ______________

)
Q.51 An M-level PSK modulation scheme is used to transmit independent binary digits over a band-pass
channel with bandwidth 100 kHz. The bit rate is 200 kbps and the system characteristic is a raised-

14
cosine spectrum with 100% excess bandwidth. The minimum value of M is ________.

Q.52 Consider a discrete-time channel 𝑌𝑌 = 𝑋𝑋 + 𝑍𝑍, where the additive noise 𝑍𝑍 is signal-dependent.

20
In particular, given the transmitted symbol 𝑋𝑋 ∈ {−𝑎𝑎, +𝑎𝑎} at any instant, the noise sample 𝑍𝑍 is
chosen independently from a Gaussian distribution with mean 𝛽𝛽𝑋𝑋 and unit variance. Assume a
threshold detector with zero threshold at the receiver.

When 𝛽𝛽 = 0, the BER was found to be 𝑄𝑄(𝑎𝑎) = 1 × 10−8 .


(𝑄𝑄(𝑣𝑣) = 12𝜋𝜋 ∫𝑣𝑣∞ 𝑒𝑒 −𝑢𝑢 2 ⁄2 𝑑𝑑𝑑𝑑, and for 𝑣𝑣 > 1, use 𝑄𝑄(𝑣𝑣) ≈ 𝑒𝑒 −𝑣𝑣 2 ⁄2 )

E
When 𝛽𝛽 = −0.3, the BER is closest to
AT
(A) 10−7 (B) 10−6 (C) 10−4 (D) 10−2
(G

Q.53 The electric field (assumed to be one-dimensional) between two points A and B is shown. Let 𝜓𝜓𝐴𝐴
and 𝜓𝜓𝐵𝐵 be the electrostatic potentials at A and B, respectively. The value of 𝜓𝜓𝐵𝐵 – 𝜓𝜓𝐴𝐴 in Volts is
________.
04
EC


Q.54 Given F = zaˆ x + xaˆ y + yaˆ z . If S represents the portion of the sphere x + y + z =
1 for z ≥ 0 ,
2 2 2

𝐹𝐹 ∙ ����⃗
then ∫𝑠𝑠 ∇ × ����⃗ 𝑑𝑑𝑑𝑑 is______________.

EC 12/13
GATE 2014 SET4 ELECTRONICS AND COMMUNICATION ENGINEERING – EC
Q.55 If 𝐸𝐸�⃗ = −(2𝑦𝑦 3 − 3𝑦𝑦𝑧𝑧 2 )𝑥𝑥� − (6𝑥𝑥𝑥𝑥 2 − 3𝑥𝑥𝑧𝑧 2 )𝑦𝑦� + (6𝑥𝑥𝑥𝑥𝑥𝑥)𝑧𝑧̂ is the electric field in a source free
region, a valid expression for the electrostatic potential is

(A) 𝑥𝑥𝑦𝑦 3 − 𝑦𝑦𝑧𝑧 2 (B) 2𝑥𝑥𝑦𝑦 3 − 𝑥𝑥𝑥𝑥𝑧𝑧 2 (C) 𝑦𝑦 3 + 𝑥𝑥𝑥𝑥𝑧𝑧 2 (D) 2𝑥𝑥𝑦𝑦 3 − 3𝑥𝑥𝑥𝑥𝑧𝑧 2

END OF THE QUESTION PAPER

)
14
20
E
AT
(G
04
EC

EC 13/13
GATE 2014
 Answer Keys for EC ‐ Electronics and Communication Engineering
SECTION ‐ 4 SECTION ‐ 4
Section Q. No. Section Q. No.
Key / Range Marks Key / Range Marks
GA 1 A 1 EC 24 47.6 to 47.8 1
GA 2 B 1 EC 25 B 1
GA 3 B 1 EC 26 0.53 to 0.55 2
GA 4 A 1 EC 27 0.43 to 0.45 2
GA 5 495 to 495 1 EC 28 D 2
GA 6 C 2 EC 29 C 2
GA 7 B 2 EC 30 B 2
GA 8 B 2 EC 31 31.24 to 31.26 2
GA 9 22 to 22 2 EC 32 2.60 to 2.64 2
GA 10 B 2 EC 33 C 2
EC 1 D 1 EC 34 224.9 to 225.1 2
EC 2 6.8 to 7.2 1 EC 35 378 to 381 2
EC 3 0.79 to 0.81 1 EC 36 D 2
EC 4 B 1 EC 37 B 2
EC 5 2.99 to 3.01 1 EC 38 0.49 to 0.51 2
EC 6 C 1 EC 39 9.39 to 9.41 2
EC 7 2.79 to 2.81 1 EC 40 C 2
EC 8 A 1 EC 41 194.9 to 195.1 2
EC 9 A 1 EC 42 A 2
EC 10 1.12 to 1.14 1 EC 43 B 2
EC 11 B 1 EC 44 0.99 to 1.01 2
EC 12 D 1 EC 45 B 2
EC 13 D 1 EC 46 D 2
EC 14 B 1 EC 47 1.9 to 2.1 2
EC 15 A 1 EC 48 0.32 to 0.41 2
EC 16 A 1 EC 49 C 2
EC 17 3.36 to 3.39 1 EC 50 0.79 to 0.81 2
EC 18 D 1 EC 51 15.9 to 16.1 2
EC 19 3.9 to 4.1 1 EC 52 C 2
EC 20 A 1 EC 53 ‐15.1 to ‐14.9 2
EC 21 C 1 EC 54 3.13 to 3.15 2
EC 22 A 1 EC 55 D 2
EC 23 3.95 to 4.05 1
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q. 1 – Q. 25 carry one mark each.


Q.1 Consider a system of linear equations:
x − 2 y + 3 z = −1 ,
x − 3 y + 4 z = 1 , and
−2 x + 4 y − 6 z = k .
The value of k for which the system has infinitely many solutions is ______.

Q.2 A function f ( x) = 1 − x + x is defined in the closed interval [ −1,1] . The value of x , in the
2 3

open interval ( −1, 1) for which the mean value theorem is satisfied, is

(A) − 1⁄2 (B) − 1⁄3 (C) 1⁄3 (D) 1/2

Q.3 Suppose and B are two independent events with probabilities ( ) ≠ 0 and ( ) ≠ 0. Let
and be their complements. Which one of the following statements is FALSE?
(A) ( ∩ ) = ( ) ( ) (B) ( | ) = ( )
(C) ( ∪ ) = ( ) + ( ) (D) ∩ = ( )

Q.4 Let z = x + iy be a complex variable. Consider that contour integration is performed along the unit
circle in anticlockwise direction. Which one of the following statements is NOT TRUE?
z
(A) The residue of 2
at z = 1 is 1/2
z −1

(B) ∮ =0

(C) ∮ =1
(D) z (complex conjugate of z ) is an analytical function

Q.5 1 4 1 2
The value of p such that the vector 2 is an eigenvector of the matrix 2 1 is
3 14 −4 10
_______.

Q.6 In the circuit shown, at resonance, the amplitude of the sinusoidal voltage (in Volts) across the
capacitor is ________.

EC 1/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.7 In the network shown in the figure, all resistors are identical with R = 300 Ω. The resistance
Rab (in Ω) of the network is ______.

R R R R
R R
R R R
R = 300 Ω
R R
Rab R R R R

Q.8 In the given circuit, the values of V1 and V2 respectively are


Ι
+ 2Ι +
V2 5A 4Ω 4Ω V1
- -

(A) 5 V, 25 V (B) 10 V, 30 V (C) 15 V, 35 V (D) 0 V, 20 V

Q.9 A region of negative differential resistance is observed in the current voltage characteristics of a
silicon PN junction if
(A) both the P-region and the N-region are heavily doped
(B) the N-region is heavily doped compared to the P-region
(C) the P-region is heavily doped compared to the N-region
(D) an intrinsic silicon region is inserted between the P-region and the N-region

Q.10 A silicon sample is uniformly doped with donor type impurities with a concentration of 1016 /cm3.
The electron and hole mobilities in the sample are 1200 cm2/V-s and 400 cm2/V-s respectively.
Assume complete ionization of impurities. The charge of an electron is 1.6 ×10-19 C. The resistivity
of the sample (in Ω-cm) is ____________.

EC 2/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.11 For the circuit with ideal diodes shown in the figure, the shape of the output ( ) for the given
sine wave input ( ) will be

+
+

T
vin vout
0 0.5T

(A) (B)

0 0.5T T 0 0.5T T

(C) (D)

0 0.5T T
0 0.5T T

Q.12 In the circuit shown below, the Zener diode is ideal and the Zener voltage is 6 V. The output
voltage (in volts) is_______.

1kΩ

+
10V 1kΩ VO
-

Q.13 In the circuit shown, the switch SW is thrown from position A to position B at time t = 0. The
energy (in μJ) taken from the 3 V source to charge the 0.1 μF capacitor from 0 V to 3 V is

3V 120 Ω SW
B A

t=0
0.1 μF

(A) 0.3 (B) 0.45 (C) 0.9 (D) 3

EC 3/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.14 In an 8085 microprocessor, the shift registers which store the result of an addition and the overflow
bit are, respectively
(A) B and F
(B) A and F
(C) H and F
(D) A and C

Q.15 A 16 Kb (=16,384 bit) memory array is designed as a square with an aspect ratio of one (number of
rows is equal to the number of columns). The minimum number of address lines needed for the
row decoder is _______.

Q.16 Consider a four bit D to A converter. The analog value corresponding to digital signals of values
0000 and 0001 are 0 V and 0.0625 V respectively. The analog value (in Volts) corresponding to
the digital signal 1111 is ________.

Q.17 The result of the convolution (− ) ∗ (− − ) is


(A) ( + ) (B) ( − ) (C) (− + ) (D) (− − )

Q.18 The waveform of a periodic signal x (t ) is shown in the figure.

x(t)
3

-2 1 4
t
-4 -3 -1 2 3

-3

⎛ t −1 ⎞
A signal g (t ) is defined by g (t ) = x ⎜ ⎟ . The average power of g (t ) is _______.
⎝ 2 ⎠

Q.19 Negative feedback in a closed-loop control system DOES NOT


(A) reduce the overall gain (B) reduce bandwidth
(C) improve disturbance rejection (D) reduce sensitivity to parameter variation

Q.20 A unity negative feedback system has the open-loop transfer function ( )= . The
( +1)( +3)
value of the gain K (>0) at which the root locus crosses the imaginary axis is ________.

Q.21 10( +1)


The polar plot of the transfer function ( ) = +10
for 0 ≤ ω < ∞ will be in the

(A) first quadrant


(B) second quadrant
(C) third quadrant
(D) fourth quadrant

EC 4/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.22 A sinusoidal signal of 2 kHz frequency is applied to a delta modulator. The sampling rate and
step-size Δ of the delta modulator are 20, 000 samples per second and 0.1 V, respectively.
To prevent slope overload, the maximum amplitude of the sinusoidal signal (in Volts) is
1 1
(A) (B)
2π π
2
(C) (D) π
π

Q.23 Consider the signal s(t ) = m(t ) cos(2π f c t ) + m


ˆ (t ) sin(2π f c t ) where mˆ (t ) denotes the Hilbert
transform of m(t ) and the bandwidth of m(t ) is very small compared to f c . The signal s (t ) is a

(A) high-pass signal


(B) low-pass signal
(C) band-pass signal
(D) double sideband suppressed carrier signal

Q.24 Consider a straight, infinitely long, current carrying conductor lying on the z-axis. Which one of the
following plots (in linear scale) qualitatively represents the dependence of Hφ on r, where Hφ is the
magnitude of the azimuthal component of magnetic field outside the conductor and r is the radial
distance from the conductor?
(A) (B)
Hφ Hφ

r r
(C) (D)
Hφ Hφ

r r

Q.25 The electric field component of a plane wave traveling in a lossless dielectric medium is given by
r ⎛ z ⎞
E ( z, t ) = aˆ y 2 cos ⎜108 t − ⎟ V/m. The wavelength (in m) for the wave is ___________.
⎝ 2⎠

EC 5/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q. 26 – Q. 55 carry two marks each.

Q.26 d2y dy
The solution of the differential equation 2
+ 2 + y = 0 with y(0) = y′(0) = 1 is
dt dt
t −t
(A) (2 − t )e (B) (1 + 2t )e
−t t
(C) (2 + t )e (D) (1 − 2t )e

Q.27 A vector is given by = − − . Which one of the following statements


is TRUE?

(A) is solenoidal, but not irrotational


(B) is irrotational, but not solenoidal
(C) is neither solenoidal nor irrotational
(D) is both solenoidal and irrotational

Q.28 Which one of the following graphs describes the function f(x) = (x + x + 1) ?

(A) (B)

(C) (D)

Q.29 2 2
The maximum area (in square units) of a rectangle whose vertices lie on the ellipse x + 4 y = 1 is
_______.

Q.30 The damping ratio of a series RLC circuit can be expressed as

R 2C 2L R C 2 L
(A) (B) (C) (D)
2L R 2C 2 L R C

EC 6/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.31 In the circuit shown, switch SW is closed at t = 0. Assuming zero initial conditions, the value of
vc(t) (in Volts) at t = 1 sec is ________.

t=0 3Ω

SW +
10 V 2Ω 5
F vc(t)
6

Q.32 In the given circuit, the maximum power (in Watts) that can be transferred to the load RL is
_______.

4 0 Vrms j2Ω
RL

Q.33 The built-in potential of an abrupt p-n junction is 0.75 V. If its junction capacitance (CJ) at a reverse
bias (VR) of 1.25 V is 5 pF, the value of CJ (in pF) when VR = 7.25 V is ________.

Q.34 A MOSFET in saturation has a drain current of 1 mA for VDS = 0.5 V. If the channel length
modulation coefficient is 0.05 V-1, the output resistance (in kΩ) of the MOSFET is _________.

Q.35 For a silicon diode with long P and N regions, the accepter and donor impurity concentrations are
1 × 1017 cm-3 and 1 × 1015 cm-3, respectively. The lifetimes of electrons in P region and holes in N
region are both 100 µs. The electron and hole diffusion coefficients are 49 cm2/s and 36 cm2/s,
respectively. Assume kT/q = 26 mV, the intrinsic carrier concentration is 1 × 1010 cm-3, and
q = 1.6 × 10-19 C. When a forward voltage of 208 mV is applied across the diode, the hole current
density (in nA/cm2) injected from P region to N region is _____________.

Q.36 The Boolean expression F(X,Y,Z) = X Y Z + X Y Z+X Y Z + X Y Z converted into the canonical
product of sum (POS) form is

(A) (X+Y+Z)(X+Y+Z)(X+Y+Z)(X+Y+Z) (B) (X+Y+Z)(X+Y+Z)(X+Y+Z)(X+Y+Z)

(C) (X+Y+Z)(X+Y+Z)(X+Y+Z)(X+Y+Z) (D) (X+Y+Z)(X+Y+Z)(X+Y+Z)(X+Y+Z)

EC 7/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.37 All the logic gates shown in the figure have a propagation delay of 20 ns. Let A = C = 0 and B = 1
until time t = 0. At t = 0, all the inputs flip (i.e., A = C = 1 and B = 0) and remain in that state. For
t > 0, output Z = 1 for a duration (in ns) of ______________.

Q.38 A 3-input majority gate is defined by the logic function M (a, b, c) = ab + bc + ca . Which one of
the following gates is represented by the function M ( M ( a, b, c ), M ( a, b, c ), c) ?

(A) 3-input NAND gate (B) 3-input XOR gate


(C) 3-input NOR gate (D) 3-input XNOR gate

Q.39 For the NMOSFET in the circuit shown, the threshold voltage is , where > 0. The source
voltage is varied from 0 to . Neglecting the channel length modulation, the drain current
as a function of is represented by
VDD

VSS

(A) (B)
ID ID

VSS VSS
VDD – Vth Vth

(C) (D)
ID ID

VSS VSS
VDD - Vth VDD – Vth

EC 8/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.40 In the circuit shown, assume that the opamp is ideal. The bridge output voltage V0 (in mV) for
δ = 0.05 is _______.

100 Ω
1V
250 (1+δ) Ω 250 (1-δ) Ω
+
V0

250 (1-δ) Ω 250 (1+δ) Ω

100 Ω
50 Ω

Q.41 The circuit shown in the figure has an ideal opamp. The oscillation frequency and the condition to
sustain the oscillations, respectively, are

R1

R2

vout
+

2C C 2R
R

(A) and R1 = R2 (B) and R1 = 4R2


CR CR

(C) and R1 = R2 (D) and R1 = 4R2


CR CR

Q.42 In the circuit shown, I1 = 80 mA and I2 = 4 mA. Transistors T1 and T2 are identical. Assume that the
thermal voltage VT is 26 mV at 27 oC. At 50 oC, the value of the voltage V12 = V1 − V2 (in mV) is
_______.
VS

I2 I1
+
V2 V1
V12

T2 T1

EC 9/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.43 Two sequences [ a, b, c ] and [ A, B, C ] are related as,

⎡ A ⎤ ⎡1 1 1 ⎤ ⎡a ⎤ 2π
⎢ B ⎥ = ⎢1 W −1 W −2 ⎥ ⎢ b ⎥ where W3 = e
j
3
.
⎢ ⎥ ⎢ 3 3 ⎥⎢ ⎥

⎣⎢C ⎦⎥ ⎣⎢1 W3 W3−4 ⎦⎥ ⎢⎣ c ⎦⎥


−2

If another sequence [ p, q, r ] is derived as,


⎡ p ⎤ ⎡1 1 1 ⎤ ⎡1 0 0 ⎤ ⎡ A / 3⎤
⎢ q ⎥ = ⎢1 W 1 W 2 ⎥ ⎢ 0 W 2 0 ⎥ ⎢ B / 3⎥
⎢ ⎥ ⎢ 3 3 ⎥⎢ 3 ⎥⎢ ⎥,
⎢⎣ r ⎥⎦ ⎢⎣1 W3 W3 ⎥⎦ ⎢⎣ 0 0 W34 ⎥⎦ ⎢⎣C / 3⎥⎦
2 4

then the relationship between the sequences [ p, q, r ] and [ a, b, c ] is

(A) [ p, q, r ] = [b, a, c] (B) [ p, q, r ] = [b, c, a]


(C) [ p, q, r ] = [ c, a, b] (D) [ p, q, r ] = [ c, b, a]

Q.44 For the discrete-time system shown in the figure, the poles of the system transfer function are
located at

X[n] Y[n]

−1 5
6 6

(A) 2, 3 (B) , 3 (C) , (D) 2,

Q.45 The pole-zero diagram of a causal and stable discrete-time system is shown in the figure. The zero
at the origin has multiplicity 4. The impulse response of the system is ℎ[ ]. If ℎ[0] = 1, we can
conclude

Im(z)

0.5

4 Re(z)
-0.5 0.5
-0.5

(A) ℎ[ ] is real for all


(B) ℎ[ ] is purely imaginary for all
(C) ℎ[ ] is real for only even
(D) ℎ[ ] is purely imaginary for only odd
EC 10/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.46 The open-loop transfer function of a plant in a unity feedback configuration is given as
K ( s + 4)
G(s) = . The value of the gain K (> 0) for which −1 + j 2 lies on the root locus is
( s + 8)( s 2 − 9)
_______.

Q.47 A lead compensator network includes a parallel combination of R and C in the feed-forward path. If
s+2
the transfer function of the compensator is Gc ( s ) = , the value of RC is ________.
s+4

Q.48 ⎛ KI ⎞ 1
A plant transfer function is given as G ( s ) = ⎜ K P + ⎟ . When the plant operates in a
⎝ s ⎠ s ( s + 2)
unity feedback configuration, the condition for the stability of the closed loop system is

KI (B) 2 K I > K P > 0 (C) 2 K I < K P (D) 2 K I > K P


(A) K P > >0
2

Q.49 The input X to the Binary Symmetric Channel (BSC) shown in the figure is ‘1’ with probability 0.8.
The cross-over probability is 1/7. If the received bit Y = 0, the conditional probability that ‘1’ was
transmitted is _______.

X Y
6/7
0 0
P[X = 0] = 0.2
1/7 1/7

P[X = 1] = 0.8
1 1
6/7

Q.50 The transmitted signal in a GSM system is of 200 kHz bandwidth and 8 users share a common
bandwidth using TDMA. If at a given time 12 users are talking in a cell, the total bandwidth of the
signal received by the base station of the cell will be at least (in kHz) ________.

EC 11/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.51 In the system shown in Figure (a), m(t) is a low-pass signal with bandwidth W Hz. The frequency
response of the band-pass filter H( f ) is shown in Figure (b). If it is desired that the output signal
( ) = 10 ( ), the maximum value of (in Hz) should be strictly less than ________.

y(t) = 10x (t) + x2(t) H( f )


x(t) = m(t) cos(2400πt) z(t)
Amplifier Band-pass
filter
(a)

H( f )

-1700 -700 0 700 1700 f (Hz)


(b)

Q.52 1 2
A source emits bit 0 with probability and bit 1 with probability . The emitted bits are
3 3
communicated to the receiver. The receiver decides for either 0 or 1 based on the received value
R . It is given that the conditional density functions of R are as
⎧1 ⎧1
⎪ , − 3 ≤ x ≤ 1, ⎪ , − 1 ≤ x ≤ 5,
f R 0 (r ) = ⎨ 4 and f R 1 (r ) = ⎨ 6
⎪⎩0, otherwise, ⎪⎩0, otherwise.

The minimum decision error probability is

(A) 0 (B) 1/12 (C) 1/9 (D) 1/6

Q.53 The longitudinal component of the magnetic field inside an air-filled rectangular waveguide made
of a perfect electric conductor is given by the following expression
( , , , ) = 0.1 cos(25 ) cos(30.3 ) cos(12 × 10 − ) ( / )
The cross-sectional dimensions of the waveguide are given as = 0.08 and = 0.033 . The
mode of propagation inside the waveguide is

(A) TM 12 (B) TM 21
(C) TE21 (D) TE12

Q.54 The electric field intensity of a plane wave traveling in free space is given by the following
expression
( , )= 24 cos( − ) (V/m)
In this field, consider a square area 10 cm x 10 cm on a plane + = 1. The total time-averaged
power (in mW) passing through the square area is _______.

EC 12/13
GATE 2015 SET 1 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.55 Consider a uniform plane wave with amplitude (E0) of 10 V/m and 1.1 GHz frequency travelling in
air, and incident normally on a dielectric medium with complex relative permittivity (εr) and
permeability (μr) as shown in the figure.
Air Dielectric
η = 120π Ω μr = 1 – j2
εr = 1 – j2

|E| = ?
10 cm

|E0| = 10 V/m
Freq = 1.1 GHz

The magnitude of the transmitted electric field component (in V/m) after it has travelled a distance
of 10 cm inside the dielectric region is _________.

END OF THE QUESTION PAPER

EC 13/13
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q. 1 – Q. 25 carry one mark each.


Q.1 ⎧1 if a ≤ t ≤ b
The bilateral Laplace transform of a function f (t ) = ⎨
⎩0 otherwise
is
a −b e s ( a − b) e − as − e − bs e s ( a −b )
(A) (B) (C) (D)
s s s s

Q.2 The value of for which all the eigen-values of the matrix given below are real is

10 5+ 4
20 2
4 2 −10
(A) 5 + j (B) 5 − (C) 1 − 5 (D) 1 + 5

Q.3 Let ( ) = . If ( ) = ( ) for all ≠ , = 2, = 4 and = 5, then should be


equal to _______.

Q.4 dy 1 + cos 2 y
The general solution of the differential equation = is
dx 1 − cos 2 x
(A) tan y − cot x = c ( c is a constant) (B) tan x − cot y = c ( c is a constant)
(C) tan y + cot x = c ( c is a constant) (D) tan x + cot y = c ( c is a constant)

Q.5 The magnitude and phase of the complex Fourier series coefficients of a periodic signal ( ) are
shown in the figure. Choose the correct statement from the four choices given. Notation: is the
set of complex numbers, is the set of purely real numbers, and is the set of purely imaginary
numbers.

|ak| 3
3
2 2
1

k
-5 -4 -3 -2 -1 0 1 2 3 4

∠ ak
-5 -4 -3 -2 -1 1 2 3 4
0
k
−π

(A) ( ) ∈
(B) ( ) ∈
(C) ( ) ∈ ( − )
(D) the information given is not sufficient to draw any conclusion about ( )

EC 1/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.6 The voltage ( ) across the capacitor (in Volts) in the network shown is ______ .
80 V 40 V

~
100 V, 50 Hz

Q.7 In the circuit shown, the average value of the voltage Vab (in Volts) in steady state condition is
________.
1 kΩ 1 μF 1 mH 2 kΩ
b a

5π sin(5000t) + 5V
Vab

Q.8 The 2-port admittance matrix of the circuit shown is given by

0.3 0.2 15 5
(A) (B)
0.2 0.3 5 15

3.33 5 0.3 0.4


(C) (D)
5 3.33 0.4 0.3

Q.9 An n-type silicon sample is uniformly illuminated with light which generates 1020 electron-hole
pairs per cm3 per second. The minority carrier lifetime in the sample is 1 µs. In the steady state, the
hole concentration in the sample is approximately 10x, where x is an integer. The value of x is ___.

EC 2/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.10 A piece of silicon is doped uniformly with phosphorous with a doping concentration of
1016/cm3. The expected value of mobility versus doping concentration for silicon assuming full
dopant ionization is shown below. The charge of an electron is 1.6 ×10-19 C. The conductivity
(in S cm −1 ) of the silicon sample at 300 K is _______.

Q.11 If the circuit shown has to function as a clamping circuit, then which one of the following
conditions should be satisfied for the sinusoidal signal of period T ?

(A) RC << T (B) RC = 0.35 T (C) RC ≈ T (D) RC >> T

EC 3/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.12 In the circuit shown, V0 = V0A for switch SW in position A and V0 = V0B for SW in position B.
V0 B
Assume that the opamp is ideal. The value of is ___________.
V0 A
1 kΩ

5V 1 kΩ

1 kΩ V0
B A

SW 1 kΩ

1V 1 kΩ

Q.13 In the bistable circuit shown, the ideal opamp has saturation levels of ± 5 V. The value of R1 (in
kΩ) that gives a hysteresis width of 500 mV is ________.

R2 = 20 kΩ

R1
+
vout

+
vin −

Q.14 In the figure shown, the output is required to be = + ̅ . The gates G1 and G2 must be,
respectively,

(A) NOR, OR (B) OR, NAND


(C) NAND, OR (D) AND, NAND

Q.15 In an 8085 microprocessor, which one of the following instructions changes the content of the
accumulator?
(A) MOV B,M (B) PCHL (C) RNZ (D) SBI BEH

EC 4/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.16 A mod-n counter using a synchronous binary up-counter with synchronous clear input is shown in
the figure. The value of n is _______.

4-Bit Binary QA QA
Counter QB QB
CLOCK CLK QC QC
QD QD
CLEAR

Q.17 Let the signal ( ) = 0 outside the interval [ , ], where and are finite. Furthermore,
| ( )| < ∞ . The region of convergence (RoC) of the signal’s bilateral Laplace transform ( ) is
(A) a parallel strip containing the Ω axis
(B) a parallel strip not containing the Ω axis
(C) the entire -plane
(D) a half plane containing the Ω axis

Q.18

n
Two causal discrete-time signals x[n] and y[ n ] are related as y[n] = m=0
x[m] .

If the z-transform of y[n] is , the value of x[2] is _______.


( )

Q.19 By performing cascading and/or summing/differencing operations using transfer function blocks
G1 ( s ) and G2 ( s ) , one CANNOT realize a transfer function of the form

(A) G1 ( s )G2 ( s ) G1 ( s)
(B)
G2 ( s )
⎛ 1 ⎞ ⎛ 1 ⎞
(C) G1 ( s) ⎜ + G2 ( s) ⎟ (D) G1 ( s ) ⎜ − G2 ( s) ⎟
⎝ G1 ( s) ⎠ ⎝ G1 ( s) ⎠

EC 5/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.20 C ( s)
For the signal flow graph shown in the figure, the value of is
R( s)

-H3

1 X1 X3 1 X4 C(s)
R(s) G1 X2 G2 G3 X5 G4

-H1 -H2

G1G2G3G4
(A)
1 − G1G2 H1 − G3G4 H 2 − G2G3 H 3 + G1G2G3G4 H1 H 2

G1G2G3G4
(B)
1 + G1G2 H1 + G3G4 H 2 + G2G3 H 3 + G1G2G3G4 H1 H 2

1
(C)
1 + G1G2 H1 + G3G4 H 2 + G2G3 H 3 + G1G2G3G4 H1 H 2

1
(D)
1 − G1G2 H1 − G3G4 H 2 − G2G3 H 3 + G1G2G3G4 H1 H 2

Q.21 A unity negative feedback system has an open-loop transfer function ( )= . The gain
( +10)
for the system to have a damping ratio of 0.25 is ________.

Q.22 A sinusoidal signal of amplitude A is quantized by a uniform quantizer. Assume that the signal
utilizes all the representation levels of the quantizer. If the signal to quantization noise ratio is 31.8
dB, the number of levels in the quantizer is _______.

Q.23 ⎛ π⎞
The signal cos ⎜ 10π t + ⎟ is ideally sampled at a sampling frequency of 15 Hz. The sampled
⎝ 4⎠
⎛ sin(π t ) ⎞ ⎛ π⎞
signal is passed through a filter with impulse response ⎜ ⎟ cos ⎜ 40π t − ⎟ . The filter
⎝ πt ⎠ ⎝ 2⎠
output is

15 ⎛ π⎞ 15 ⎛ sin(π t ) ⎞ ⎛ π⎞
(A) cos ⎜ 40π t − ⎟ (B) ⎜ ⎟ cos ⎜10π t + ⎟
2 ⎝ 4⎠ 2 ⎝ πt ⎠ ⎝ 4⎠
15 ⎛ π⎞ 15 ⎛ sin(π t ) ⎞ ⎛ π⎞
(C) cos ⎜10π t − ⎟ (D) ⎜ ⎟ cos ⎜ 40π t − ⎟
2 ⎝ 4⎠ 2 ⎝ πt ⎠ ⎝ 2⎠

EC 6/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.24 In a source free region in vacuum, if the electrostatic potential = 2 + + , the value
of constant must be _______.

Q.25 The electric field of a uniform plane electromagnetic wave is

= + 4 exp[ (2 × 10 − 0.2 )].

The polarization of the wave is


(A) right handed circular (B) right handed elliptical
(C) left handed circular (D) left handed elliptical

Q. 26 – Q. 55 carry two marks each.

Q.26 dx
Consider the differential equation = 10 − 0.2 x with initial condition x(0) = 1 . The response
dt
x(t ) for t > 0 is

(A) 2 − e −0.2t (B) 2 − e 0.2 t (C) 50 − 49e −0.2t (D) 50 − 49e0.2t

Q.27 sin(4π t )
The value of the integral 12 cos(2π t ) dt is ___________.
4π t

Q.28 If denotes the counterclockwise unit circle, the value of the contour integral
1
{ }
2
is _______.

Q.29 Let the random variable X represent the number of times a fair coin needs to be tossed till two
consecutive heads appear for the first time. The expectation of X is _______.

Q.30 An LC tank circuit consists of an ideal capacitor C connected in parallel with a coil of inductance
L having an internal resistance R . The resonant frequency of the tank circuit is
1 1 C
(A) (B) 1 − R2
2π LC 2π LC L
1 L 1 ⎛ 2 C ⎞
(C) 1− (D) ⎜1 − R ⎟
2π LC R 2C 2π LC ⎝ L⎠

EC 7/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.31 In the circuit shown, the Norton equivalent resistance (in Ω ) across terminals a-b is _______.

a

+
-
4Ι 2Ω 4Ω

Ι
b

Q.32 In the circuit shown, the initial voltages across the capacitors C1 and C2 are 1 V and 3 V,
respectively. The switch is closed at time = 0. The total energy dissipated (in Joules) in the
resistor R until steady state is reached, is __________.

Q.33 A dc voltage of 10 V is applied across an n-type silicon bar having a rectangular cross-section and a
length of 1 cm as shown in figure. The donor doping concentration ND and the mobility of electrons
μn are 1016 cm-3 and 1000 cm2V-1s-1, respectively. The average time (in µs) taken by the electrons
to move from one end of the bar to other end is ________.

10 V

n-Si

1 cm

Q.34 In a MOS capacitor with an oxide layer thickness of 10 nm, the maximum depletion layer thickness
is 100 nm. The permittivities of the semiconductor and the oxide layer are εs and εox respectively.
Assuming εs/εox = 3, the ratio of the maximum capacitance to the minimum capacitance of this
MOS capacitor is ________.

EC 8/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.35 The energy band diagram and the electron density profile n(x) in a semiconductor are shown in
the figures. Assume that n(x) = 10 cm-3, with = 0.1 V/cm and x expressed in cm.
Given = 0.026 V, = 36 cm s , and = . The electron current density (in A/cm ) at
x = 0 is

(A) −4.4 × 10 (B) −2.2 × 10 (C) 0 (D) 2.2 × 10

Q.36 A function of Boolean variables X, Y and Z is expressed in terms of the min-terms as

F(X, Y, Z) = Σ (1, 2, 5, 6, 7)

Which one of the product of sums given below is equal to the function F(X, Y, Z)?

(A) (X + Y + Z ) ⋅ (X + Y + Z) ⋅ (X + Y + Z )
(B) (X + Y + Z) ⋅ (X + Y + Z ) ⋅ (X + Y + Z)
(C) (X + Y + Z) ⋅ (X + Y + Z ) ⋅ (X + Y + Z) ⋅ (X + Y + Z ) ⋅ (X + Y + Z)
(D) (X + Y + Z ) ⋅ (X + Y + Z) ⋅ (X + Y + Z ) ⋅ (X + Y + Z) ⋅ (X + Y + Z )

Q.37 The figure shows a binary counter with synchronous clear input. With the decoding logic shown,
the counter works as a

Binary
Counter Q3
Q2
CLK Q1

Q0
CLR

(A) mod-2 counter (B) mod-4 counter (C) mod-5 counter (D) mod-6 counter

EC 9/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.38 A 1-to-8 demultiplexer with data input Din , address inputs S0, S1, S2 (with S0 as the LSB) and
Y0 to Y7 as the eight demultiplexed outputs, is to be designed using two 2-to-4 decoders (with
enable input and address inputs A0 and A1) as shown in the figure. Din , S0, S1 and S2 are to be
connected to P, Q, R and S, but not necessarily in this order. The respective input connections to P,
Q, R, and S terminals should be

P
1E 1Y0 Y0
Q 2-to-4
Decoder 1Y1 Y1
R 1A0 1Y2 Y2
S 1A1 1Y3 Y3

2E 2-to-4 2Y0 Y4
Decoder 2Y1 Y5
2A0 2Y2 Y6
2A1 2Y3 Y7

(A) S2, Din, S0, S1 (B) S1, Din, S0, S2


(C) Din, S0, S1, S2 (D) Din, S2, S0, S1

Q.39 The diode in the circuit given below has = 0.7 but is ideal otherwise. The current (in mA) in
the 4 kΩ resistor is _______.

3kΩ
2kΩ
D 1kΩ

1mA
4kΩ 6kΩ

Q.40 Assuming that the opamp in the circuit shown below is ideal, the output voltage (in volts)
is________.

2kΩ

1kΩ 12V

VO

-12V
1V

EC 10/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.41 For the voltage regulator circuit shown, the input voltage (Vin) is 20 V ± 20% and the regulated
output voltage (Vout) is 10 V. Assume the opamp to be ideal. For a load RL drawing 200 mA, the
maximum power dissipation in Q1 (in Watts) is ________.

Vin Q1
Vout

4V R1
Vref + RL

R2 = 10 kΩ

Q.42 In the ac equivalent circuit shown, the two BJTs are biased in active region and have identical
parameters with β >>1. The open circuit small signal voltage gain is approximately _______.

Q.43 Input x (t ) and output y (t ) of an LTI system are related by the differential equation
y ′′(t ) − y ′(t ) − 6 y (t ) = x (t ) . If the system is neither causal nor stable, the impulse response h (t ) of
the system is
1 3t 1 1 3t 1
(A) e u (−t ) + e−2t u (−t ) (B) − e u (−t ) + e−2t u (−t )
5 5 5 5
1 3t 1 −2t 1 3t 1 −2t
(C) e u (−t ) − e u (t ) (D) − e u (−t ) − e u (t )
5 5 5 5

EC 11/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.44 Consider two real sequences with time-origin marked by the bold value,
[ ] = { , 2, 3, 0} , [ ] = { , 3, 2, 1}
Let ( ) and ( ) be 4-point DFTs of [ ] and [ ], respectively.
Another sequence [ ] is derived by taking 4-point inverse DFT of ( )= ( ) ( ).
The value of [2] is ______.

Q.45 Let ( ) = ( ) + (− ) with ( ) = ( ), where ( ) is unit step function. If the bilateral


Laplace transform of x(t ) is
16
X (s) = 2
−4 < Re {s} < 4 ;
s − 16
then the value of β is _______.

Q.46 The state variable representation of a system is given as


⎡0 1 ⎤
x& = ⎢ ⎥x; (0) = 1
⎣0 −1⎦ 0
y = [ 0 1] x

The response ( ) is
(A) sin(t ) (B) 1 − et (C) 1 − cos(t ) (D) 0

Q.47 The output of a standard second-order system for a unit step input is given as
2 −t ⎛ π⎞
y (t ) = 1 − e cos ⎜ 3t − ⎟ . The transfer function of the system is
3 ⎝ 6⎠
2 1 3 4
(A) (B) 2
(C) 2
(D) 2
( s + 2)( s + 3) s + 2s + 1 s + 2s + 3 s + 2s + 4

Q.48 The transfer function of a mass-spring-damper system is given by

1
( )=
+ +

The frequency response data for the system are given in the following table.

ω in rad/s | ( )| in dB arg ( ( )) in deg


0.01 -18.5 -0.2
0.1 -18.5 -1.3
0.2 -18.4 -2.6
1 -16 -16.9
2 -11.4 -89.4
3 -21.5 -151
5 -32.8 -167
10 -45.3 -174.5

The unit step response of the system approaches a steady state value of ________ .

EC 12/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.49 N0
A zero mean white Gaussian noise having power spectral density is passed through an LTI
2
filter whose impulse response h(t ) is shown in the figure. The variance of the filtered noise at
t = 4 is
ℎ( )

0 1 2 3

3 2 3 2
(A) A N0 (B) A N0
2 4
1 2
(C) A 2 N 0 (D) A N 0
2

Q.50 { X n }n=−∞ is an independent and identically distributed (i.i.d.) random process with equally
n =∞

likely to be +1 or −1 . {Yn }n =−∞ is another random process obtained as = + 0.5


n =∞
. The
autocorrelation function of {Yn }n =−∞ , denoted by RY[k], is
n =∞

(A) (B)
RY[k] RY[k] 1.25
1
0.5 0.5

-3 -2 -1 0 1 2 3 k -3 -2 -1 0 1 2 3 k
(C) (D)
RY[k] 1.25 RY[k] 1.25

0.5 0.5
0.25 0.25 0.25 0.25

-2 -1 0 1 2 k -3 -2 -1 0 1 2 3 k

EC 13/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.51 Consider a binary, digital communication system which uses pulses g (t ) and − g (t ) for transmitting
bits over an AWGN channel. If the receiver uses a matched filter, which one of the following
pulses will give the minimum probability of bit error?

g(t) g(t)

1 1

0 1 t
(A) 0 1 t
(B)

g(t) g(t)

1 1

0 1 t 0 1 t
(C) (D)

Q.52 Let ∈ {0,1} and ∈ {0,1} be two independent binary random variables. If ( = 0) =
and ( = 0) = , then ( + ≥ 1) is equal to

(A) + (1 − )(1 − ) (B)


(C) (1 − ) (D) 1 −

Q.53 An air-filled rectangular waveguide of internal dimensions a cm × b cm ( a > b ) has a cutoff


frequency of 6 GHz for the dominant TE10 mode. For the same waveguide, if the cutoff frequency
of the TM 11 mode is 15 GHz, the cutoff frequency of the TE01 mode in GHz is __________.

Q.54 Two half-wave dipole antennas placed as shown in the figure are excited with sinusoidally varying
currents of frequency 3 MHz and phase shift of π/2 between them (the element at the origin leads in
phase). If the maximum radiated E-field at the point P in the x-y plane occurs at an azimuthal angle
of 60°, the distance d (in meters) between the antennas is _________.

O
d y
60°
OP >> d

x P

EC 14/15
GATE 2015 SET-2 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.55 The electric field of a plane wave propagating in a lossless non-magnetic medium is given by the
following expression

( , )= 5 cos(2 × 10 + )+ 3 cos 2 × 10 + −
2
The type of the polarization is
(A) Right Hand Circular. (B) Left Hand Elliptical.
(C) Right Hand Elliptical. (D) Linear.

END OF THE QUESTION PAPER

EC 15/15
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q. 1 – Q. 25 carry one mark each.


Q.1 ⎡ 1 tan x ⎤
For A = ⎢ ⎥ , the determinant of AT A−1 is
⎣ − tan x 1 ⎦

(A) sec 2 x (B) cos 4x (C) 1 (D) 0

Q.2 The contour on the x-y plane, where the partial derivative of + with respect to is equal to
the partial derivative of 6 + 4 with respect to , is
(A) =2 (B) =2 (C) + =4 (D) – =0

Q.3 If is a circle of radius with centre , in the complex z-plane and if is a non-zero integer, then
∮ ( )
equals

(A) 2 (B) 0 (C) (D) 2

Q.4 Consider the function g (t ) = e − t sin(2π t )u (t ) where u (t ) is the unit step function. The area under
g (t ) is _______.

Q.5 ∞ n
⎛1⎞
The value of ∑ n ⎜ ⎟ is ______.
n =0 ⎝ 2 ⎠

Q.6 For the circuit shown in the figure, the Thevenin equivalent voltage (in Volts) across terminals a-b
is ________.

a

12 V 1A 6Ω

Q.7 In the circuit shown, the voltage VX (in Volts) is _______.

0.5 VX

10 Ω
+
+
5A VX 20 Ω 8Ω 0.25 VX
-
-

EC 1/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.8 At very high frequencies, the peak output voltage V0 (in Volts) is ________.

100 µF

1 kΩ 1 kΩ V0
100 µF
1.0sin(ωt) V

1 kΩ 1 kΩ

100 µF

Q.9 Which one of the following processes is preferred to form the gate dielectric (SiO2) of MOSFETs ?
(A) Sputtering (B) Molecular beam epitaxy
(C) Wet oxidation (D) Dry oxidation

Q.10 If the base width in a bipolar junction transistor is doubled, which one of the following statements
will be TRUE?
(A) Current gain will increase.
(B) Unity gain frequency will increase.
(C) Emitter-base junction capacitance will increase.
(D) Early Voltage will increase.

Q.11 In the circuit shown in the figure, the BJT has a current gain ( ) of 50. For an emitter-base
voltage = 600 mV, the emitter-collector voltage (in Volts) is _______.

3V

60 kΩ
500 Ω

EC 2/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.12 In the circuit shown using an ideal opamp, the 3-dB cut-off frequency (in Hz) is _______.

10 kΩ 10 kΩ
vi +
vo

0.1 µF
10 kΩ 10 kΩ

Q.13 In the circuit shown, assume that diodes D1 and D2 are ideal. In the steady state condition, the
average voltage Vab (in Volts) across the 0.5 μF capacitor is _____.

1 μF

50 sin (ωt) D1 D2

0.5 μF
b a
+
Vab

Q.14 The circuit shown consists of J-K flip-flops, each with an active low asynchronous reset (R input).
The counter corresponding to this circuit is

(A) a modulo-5 binary up counter (B) a modulo-6 binary down counter


(C) a modulo-5 binary down counter (D) a modulo-6 binary up counter

EC 3/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.15 In the circuit shown, diodes D1 , D2 and D3 are ideal, and the inputs E1 , E2 and E3 are “0 V” for
logic ‘0’ and “10 V” for logic ‘1’. What logic gate does the circuit represent?

D1
E1
D2
E2
D3
E3
V0

1 kΩ

10V

(A) 3-input OR gate


(B) 3-input NOR gate
(C) 3-input AND gate
(D) 3-input XOR gate

Q.16 Which one of the following 8085 microprocessor programs correctly calculates the product of two
8-bit numbers stored in registers B and C?

(A) MVI A, 00H (B) MVI A, 00H


JNZ LOOP CMP C
CMP C LOOP DCR B
LOOP DCR B JNZ LOOP
HLT HLT

(C) MVI A, 00H (D) MVI A, 00H


LOOP ADD C ADD C
DCR B JNZ LOOP
JNZ LOOP LOOP INR B
HLT HLT

Q.17 The impulse response of an LTI system can be obtained by


(A) differentiating the unit ramp response
(B) differentiating the unit step response
(C) integrating the unit ramp response
(D) integrating the unit step response

Q.18

3
Consider a four-point moving average filter defined by the equation y[n] = i =0
α i x[n − i ] .
The condition on the filter coefficients that results in a null at zero frequency is
(A) α1 = α 2 = 0; α 0 = −α 3 (B) α1 = α 2 = 1; α 0 = −α 3

(C) α 0 = α 3 = 0; α1 = α 2 (D) α1 = α 2 = 0; α 0 = α 3

EC 4/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.19 Consider the Bode plot shown in the figure. Assume that all the poles and zeros are real-valued.

40 dB
− 40 dB/dec

40 dB/dec
0 dB Freq. (Hz)
fL 300 900 fH

The value of − (in Hz) is ___________.

Q.20 10
The phase margin (in degrees) of the system G ( s ) = is _______.
s ( s + 10)

Q.21 The transfer function of a first-order controller is given as

( + )
( )=
+

where , and are positive real numbers. The condition for this controller to act as a phase lead
compensator is
(A) < (B) > (C) < (D) >

Q.22 The modulation scheme commonly used for transmission from GSM mobile terminals is
(A) 4-QAM
(B) 16-PSK
(C) Walsh-Hadamard orthogonal codes
(D) Gaussian Minimum Shift Keying (GMSK)

Q.23 A message signal m(t) = Am sin(2πfmt) is used to modulate the phase of a carrier Ac cos(2πfct) to get
the modulated signal y(t) = Ac cos(2πfct + m(t)). The bandwidth of y(t)
(A) depends on Am but not on fm
(B) depends on fm but not on Am
(C) depends on both Am and fm
(D) does not depend on Am or fm

Q.24 The directivity of an antenna array can be increased by adding more antenna elements, as a larger
number of elements
(A) improves the radiation efficiency
(B) increases the effective area of the antenna
(C) results in a better impedance matching
(D) allows more power to be transmitted by the antenna

EC 5/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.25 A coaxial cable is made of two brass conductors. The spacing between the conductors is filled with
Teflon (ε r' = 2.1, tan δ = 0) . Which one of the following circuits can represent the lumped
element model of a small piece of this cable having length ∆ ?
RΔz / 2 LΔz / 2 RΔz / 2 LΔz / 2 RΔz / 2 LΔz / 2 RΔz / 2 LΔz / 2

GΔz CΔz CΔz

Δz
(A) Δz (B)

LΔz / 2 LΔz / 2 RΔz LΔz

GΔz CΔz GΔz CΔz

Δz Δz
(C) (D)

Q. 26 – Q. 55 carry two marks each.

Q.26 The Newton-Raphson method is used to solve the equation f (x) = x3 – 5x2 + 6x – 8 = 0. Taking the
initial guess as x = 5, the solution obtained at the end of the first iteration is __________.

Q.27 A fair die with faces {1, 2, 3, 4, 5, 6} is thrown repeatedly till ‘3’ is observed for the first time. Let
X denote the number of times the die is thrown. The expected value of X is ____.

Q.28 Consider the differential equation


( ) ( )
+ 3 + 2 ( ) = 0.

Given x(0) = 20 and x(1) = 10/e, where e = 2.718, the value of x(2) is _________.

Q.29 A vector field = 2 + exists inside a cylindrical region enclosed by the surfaces
= 1, = 0 and = 5. Let S be the surface bounding this cylindrical region. The surface integral
of this field on S ∯ . is _______.

EC 6/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.30 In the circuit shown, the current I flowing through the 50 Ω resistor will be zero if the value of
capacitor C (in μF) is ______.

50 Ω 1 mH 1 mH

5π sin(5000t) I
1 mH C

Q.31 The ABCD parameters of the following 2-port network are

3.5 + 2 20.5 3.5 + 2 30.5


(A) (B)
20.5 3.5 − 2 0.5 3.5 − 2

10 2+ 0 7+ 4 0.5
(C) (D)
2+ 0 10 30.5 7 − 4

Q.32 A network is described by the state model as

= 2 − + 3
= −4 −
= 3 − 2

( )
The transfer function ( ) = is
( )

(A) ( )(
(B) ( )(
) )

(C) ( )(
(D) ( )(
) )

EC 7/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.33 The electric field profile in the depletion region of a p-n junction in equilibrium is shown in the
figure. Which one of the following statements is NOT TRUE?
E
(V/cm)
104

− 0.1 0 0.5 1.0 X (µm)

(A) The left side of the junction is n-type and the right side is p-type
(B) Both the n-type and p-type depletion regions are uniformly doped
(C) The potential difference across the depletion region is 700 mV
(D) If the p-type region has a doping concentration of 1015 cm-3, then the doping concentration in
the n-type region will be 1016 cm-3

Q.34 The current in an enhancement mode NMOS transistor biased in saturation mode was measured to
be 1 mA at a drain-source voltage of 5 V. When the drain-source voltage was increased to 6 V
while keeping gate-source voltage same, the drain current increased to 1.02 mA. Assume that drain
to source saturation voltage is much smaller than the applied drain-source voltage. The channel
length modulation parameter (in ) is _______.

Q.35 An npn BJT having reverse saturation current I S = 10-15 A is biased in the forward active region
with VBE = 700 mV. The thermal voltage ( VT ) is 25 mV and the current gain ( β ) may vary from
50 to 150 due to manufacturing variations. The maximum emitter current (in µA) is ________.

Q.36 A three bit pseudo random number generator is shown. Initially the value of output
Y ≡ Y2 Y1 Y0 is set to 111. The value of output Y after three clock cycles is

(A) 000 (B) 001 (C) 010 (D) 100

EC 8/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.37 A universal logic gate can implement any Boolean function by connecting sufficient number of
them appropriately. Three gates are shown.

Gate 1 Gate 2 Gate 3

Which one of the following statements is TRUE?

(A) Gate 1 is a universal gate.


(B) Gate 2 is a universal gate.
(C) Gate 3 is a universal gate.
(D) None of the gates shown is a universal gate.

Q.38 An SR latch is implemented using TTL gates as shown in the figure. The set and reset pulse inputs
are provided using the push-button switches. It is observed that the circuit fails to work as desired.
The SR latch can be made functional by changing

Q
Set
5V

Q
Reset

(A) NOR gates to NAND gates


(B) inverters to buffers
(C) NOR gates to NAND gates and inverters to buffers
(D) 5 V to ground

Q.39 In the circuit shown, assume that the opamp is ideal. If the gain (vo / vin) is –12, the value of
R (in kΩ) is _____.

10 kΩ 10 kΩ

R
vin 10 kΩ

v0

EC 9/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.40 In the circuit shown, both the enhancement mode NMOS transistors have the following
characteristics: = ( ⁄ )=1 ⁄ ; = 1 . Assume that the channel length
modulation parameter is zero and body is shorted to source. The minimum supply voltage (in
volts) needed to ensure that transistor M1 operates in saturation mode of operation is _______.

VDD

M2

2V M1

Q.41 In the circuit shown, assume that the diodes D1 and D2 are ideal. The average value of voltage Vab
(in Volts), across terminals ‘a’ and ‘b’ is _________.

D1 D2
10 kΩ
6π sin(ωt) a + b
Vab
10 kΩ 20 kΩ

Q.42 Suppose [ ] is an absolutely summable discrete-time signal. Its z-transform is a rational function
with two poles and two zeroes. The poles are at = ±2 . Which one of the following statements is
TRUE for the signal [ ]?
(A) It is a finite duration signal.
(B) It is a causal signal.
(C) It is a non-causal signal.
(D) It is a periodic signal.

EC 10/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.43 A realization of a stable discrete time system is shown in the figure. If the system is excited by a
unit step sequence input x[n] , the response y[ n ] is

[ ] +

1 −5/3
+ + [ ]

−2/9 5/3

n n n n
⎛ 1⎞ ⎛ 2⎞ ⎛ 2⎞ ⎛ 1⎞
(A) 4 ⎜ − ⎟ u[n] − 5 ⎜ − ⎟ u[n] (B) 5 ⎜ − ⎟ u[n] − 3 ⎜ − ⎟ u[n]
⎝ 3⎠ ⎝ 3⎠ ⎝ 3⎠ ⎝ 3⎠
n n n n
⎛1⎞ ⎛2⎞ ⎛2⎞ ⎛1⎞
(C) 5 ⎜ ⎟ u[n] − 5 ⎜ ⎟ u[n] (D) 5 ⎜ ⎟ u[n] − 5 ⎜ ⎟ u[n]
⎝3⎠ ⎝3⎠ ⎝3⎠ ⎝3⎠

Q.44 Let [ ] = 1 + cos be a periodic signal with period 16. Its DFS coefficients are defined by
1 15
π
ak = ∑
16 n =0
x% [ n ] exp(− j kn) for all k . The value of the coefficient
8
is _______.

Q.45 Consider a continuous-time signal defined as



sin ( ⁄2)
( )= ∗ δ( − 10 )
( ⁄2)

where ‘∗’ denotes the convolution operation and t is in seconds. The Nyquist sampling rate (in
samples/sec) for ( ) is _____.

Q.46 The position control of a DC servo-motor is given in the figure. The values of the parameters are
KT = 1 N-m/A, Ra = 1Ω, La = 0.1H, J = 5kg-m 2 , B = 1 N-m/(rad/sec) and K b = 1V/(rad/sec) .
The steady-state position response (in radians) due to unit impulse disturbance torque is
_______.
Td(s)

+ KT - 1 1
Va(s)
J s+B s θ(s)
Ra+La s +
-

Kb

EC 11/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.47 For the system shown in the figure, = −2.75 lies on the root locus if is _______.

+ Y(s)
s+3
K
s+2
-

Q.48 The characteristic equation of an LTI system is given by F(s) = s5 + 2s4 + 3s3 + 6s2 – 4s – 8 = 0.
The number of roots that lie strictly in the left half s-plane is _________.

Q.49 Two sequences [ ] and [ ] have the same energy. Suppose [ ] = 0.5 [ ], where is a
positive real number and [ ] is the unit step sequence. Assume

[ ]= √1.5 for = 0, 1
0 otherwise.
Then the value of is _________.

Q.50 1 −x
The variance of the random variable X with probability density function f ( x) = x e is
2
_______.

Q.51 ⎛ sin(π t / 5) ⎞ π
The complex envelope of the bandpass signal x (t ) = − 2 ⎜ ⎟ sin(π t − ) , centered
⎝ πt / 5 ⎠ 4
1
about f = Hz, is
2
π π
⎛ sin(π t / 5) ⎞ j 4 ⎛ sin(π t / 5) ⎞ − j 4
(A) ⎜ ⎟e (B) ⎜ ⎟e
⎝ πt / 5 ⎠ ⎝ πt / 5 ⎠
π π
⎛ sin(π t / 5) ⎞ j 4 ⎛ sin(π t / 5) ⎞ − j 4
(C) 2⎜ ⎟ e (D) 2⎜ ⎟ e
⎝ πt / 5 ⎠ ⎝ πt / 5 ⎠

EC 12/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

Q.52 A random binary wave ( ) is given by

( ) = ( − − )

where ( ) = ( ) − ( − ), u(t) is the unit step function and is an independent random


variable with uniform distribution in [0,T]. The sequence { } consists of independent and
identically distributed binary valued random variables with { = +1} = { = −1} = 0.5 for
each n.

The value of the autocorrelation ≜ ( ) − equals ________.

Q.53 Consider the 3 m long lossless air-filled transmission line shown in the figure. It has a characteristic
impedance of 120π Ω, is terminated by a short circuit, and is excited with a frequency of 37.5 MHz.
What is the nature of the input impedance (Zin)?

ZL=0

Zin 3m

(A) Open (B) Short (C) Inductive (D) Capacitive

Q.54 A 200 m long transmission line having parameters shown in the figure is terminated into a load .
The line is connected to a 400 V source having source resistance through a switch, which is
closed at t = 0. The transient response of the circuit at the input of the line (z = 0) is also drawn in
the figure. The value of (in Ω) is ________.

RS = 150 Ω
R0 = 50 Ω
RL
εr,eff = 2.25
VS = 400 V

200 m

z=0 z=L

Q.55 A coaxial capacitor of inner radius 1 mm and outer radius 5 mm has a capacitance per unit length of
172 pF/m. If the ratio of outer radius to inner radius is doubled, the capacitance per unit length
(in pF/m) is ________.

EC 13/14
GATE 2015 SET-3 ELECTRONICS AND COMMUNICATION ENGINEERING - EC

END OF THE QUESTION PAPER

EC 14/14
GATE 2016 General Aptitude - GA Set-1

Q. 1 – Q. 5 carry one mark each.


Q.1 Which of the following is CORRECT with respect to grammar and usage?

Mount Everest is ____________.


(A) the highest peak in the world

(B) highest peak in the world

(C) one of highest peak in the world

(D) one of the highest peak in the world

Q.2 The policeman asked the victim of a theft, “What did you ?”
(A) loose (B) lose (C) loss (D) louse

Q.3 Despite the new medicine’s ______________ in treating diabetes, it is not ______________widely.
(A) effectiveness --- prescribed (B) availability --- used
(C) prescription --- available (D) acceptance --- proscribed

Q.4 In a huge pile of apples and oranges, both ripe and unripe mixed together, 15% are unripe fruits. Of
the unripe fruits, 45% are apples. Of the ripe ones, 66% are oranges. If the pile contains a total of
5692000 fruits, how many of them are apples?

(A) 2029198 (B) 2467482 (C) 2789080 (D) 3577422

Q.5 Michael lives 10 km away from where I live. Ahmed lives 5 km away and Susan lives 7 km away
from where I live. Arun is farther away than Ahmed but closer than Susan from where I live. From
the information provided here, what is one possible distance (in km) at which I live from Arun’s
place?

(A) 3.00 (B) 4.99 (C) 6.02 (D) 7.01

Q. 6 – Q. 10 carry two marks each.

Q.6 A person moving through a tuberculosis prone zone has a 50% probability of becoming infected.
However, only 30% of infected people develop the disease. What percentage of people moving
through a tuberculosis prone zone remains infected but does not show symptoms of disease?

(A) 15 (B) 33 (C) 35 (D) 37

1/2
GATE 2016 General Aptitude - GA Set-1

Q.7 In a world filled with uncertainty, he was glad to have many good friends. He had always assisted
them in times of need and was confident that they would reciprocate. However, the events of the
last week proved him wrong.

Which of the following inference(s) is/are logically valid and can be inferred from the above
passage?

(i) His friends were always asking him to help them.

(ii) He felt that when in need of help, his friends would let him down.

(iii) He was sure that his friends would help him when in need.

(iv) His friends did not help him last week.

(A) (i) and (ii) (B) (iii) and (iv) (C) (iii) only (D) (iv) only

Q.8 Leela is older than her cousin Pavithra. Pavithra’s brother Shiva is older than Leela. When Pavithra
and Shiva are visiting Leela, all three like to play chess. Pavithra wins more often than Leela does.

Which one of the following statements must be TRUE based on the above?
(A) When Shiva plays chess with Leela and Pavithra, he often loses.

(B) Leela is the oldest of the three.

(C) Shiva is a better chess player than Pavithra.

(D) Pavithra is the youngest of the three.

1 1 1
If 𝑞𝑞 −𝑎𝑎 and 𝑟𝑟 −𝑏𝑏 and 𝑠𝑠 −𝑐𝑐
Q.9
= = = , the value of abc is .
𝑟𝑟 𝑠𝑠 𝑞𝑞

(A) (𝑟𝑟𝑟𝑟𝑟𝑟)−1 (B) 0 (C) 1 (D) r+q+s

Q.10 P, Q, R and S are working on a project. Q can finish the task in 25 days, working alone for 12
hours a day. R can finish the task in 50 days, working alone for 12 hours per day. Q worked 12
hours a day but took sick leave in the beginning for two days. R worked 18 hours a day on all days.
What is the ratio of work done by Q and R after 7 days from the start of the project?

(A) 10:11 (B) 11:10 (C) 20:21 (D) 21:20

END OF THE QUESTION PAPER

2/2
GATE 2016 Electronics and Communication Engineering (Set 1)

Q. 1 – Q. 25 carry one mark each.


Q.1 Let M4 = I, (where I denotes the identity matrix) and M ≠ I, M2 ≠ I and M3 ≠ I. Then, for any
natural number k, M−1 equals:
(A) M4k + 1 (B) M4k + 2 (C) M4k + 3 (D) M4k

Q.2 The second moment of a Poisson-distributed random variable is 2. The mean of the random
variable is _______

Q.3 Given the following statements about a function 𝑓: ℝ → ℝ, select the right option:

P: If f(x) is continuous at 𝑥 = 𝑥0 , then it is also differentiable at 𝑥 = 𝑥0 .


Q: If f(x) is continuous at 𝑥 = 𝑥0 , then it may not be differentiable at 𝑥 = 𝑥0 .
R: If f(x) is differentiable at 𝑥 = 𝑥0 , then it is also continuous at 𝑥 = 𝑥0 .

(A) P is true, Q is false, R is false (B) P is false, Q is true, R is true


(C) P is false, Q is true, R is false (D) P is true, Q is false, R is true

Q.4 Which one of the following is a property of the solutions to the Laplace equation: ∇2 𝑓 = 0?
(A) The solutions have neither maxima nor minima anywhere except at the boundaries.
(B) The solutions are not separable in the coordinates.
(C) The solutions are not continuous.
(D) The solutions are not dependent on the boundary conditions.

EC (1) 1/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.5 Consider the plot of 𝑓(𝑥) versus 𝑥 as shown below.

𝑥
Suppose 𝐹(𝑥) = ∫−5 𝑓(𝑦)𝑑𝑦. Which one of the following is a graph of 𝐹(𝑥)?

(A) (B)

(C) (D)

Q.6 Which one of the following is an eigen function of the class of all continuous-time, linear, time-
invariant systems (𝑢(𝑡) denotes the unit-step function)?
(A) 𝑒 𝑗𝜔0 𝑡 𝑢(𝑡) (B) cos (𝜔0 𝑡)

(C) 𝑒 𝑗𝜔0 𝑡 (D) sin (𝜔0 𝑡)

Q.7 A continuous-time function x(t) is periodic with period T. The function is sampled uniformly with
a sampling period 𝑇𝑠 . In which one of the following cases is the sampled signal periodic?
(A) 𝑇 = √2 𝑇𝑠 (B) 𝑇 = 1.2 𝑇𝑠
(C) Always (D) Never

Q.8 Consider the sequence 𝑥[𝑛] = 𝑎𝑛 𝑢[𝑛] + 𝑏 𝑛 𝑢[𝑛], where 𝑢[𝑛] denotes the unit-step sequence and
0 < |𝑎| < |𝑏| < 1. The region of convergence (ROC) of the z-transform of 𝑥[𝑛] is
(A) |𝑧| > |𝑎| (B) |𝑧| > |𝑏| (C) |𝑧| < |𝑎| (D) |𝑎| < |𝑧| < |𝑏|

EC (1) 2/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.9 𝐴 𝐵
Consider a two-port network with the transmission matrix: 𝑇 = � �. If the network is
𝐶 𝐷
reciprocal, then
(A) 𝑇 −1 = 𝑇 (B) 𝑇 2 = 𝑇
(C) Determinant (T) = 0 (D) Determinant (T) = 1

Q.10 A continuous-time sinusoid of frequency 33 Hz is multiplied with a periodic Dirac impulse train of
frequency 46 Hz. The resulting signal is passed through an ideal analog low-pass filter with a cutoff
frequency of 23 Hz. The fundamental frequency (in Hz) of the output is _________

Q.11 A small percentage of impurity is added to an intrinsic semiconductor at 300 K. Which one of the
following statements is true for the energy band diagram shown in the following figure?

(A) Intrinsic semiconductor doped with pentavalent atoms to form n-type semiconductor
(B) Intrinsic semiconductor doped with trivalent atoms to form n-type semiconductor
(C) Intrinsic semiconductor doped with pentavalent atoms to form p-type semiconductor
(D) Intrinsic semiconductor doped with trivalent atoms to form p-type semiconductor

Q.12 Consider the following statements for a metal oxide semiconductor field effect transistor
(MOSFET):

P: As channel length reduces, OFF-state current increases.


Q: As channel length reduces, output resistance increases.
R: As channel length reduces, threshold voltage remains constant.
S: As channel length reduces, ON current increases.

Which of the above statements are INCORRECT?


(A) P and Q (B) P and S (C) Q and R (D) R and S

EC (1) 3/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.13 Consider the constant current source shown in the figure below. Let 𝛽 represent the current gain of
the transistor.


Vref


The load current 𝐼0 through RL is

𝛽+1 𝑉𝑟𝑒𝑓 𝛽 𝑉𝑟𝑒𝑓 𝛽+1 𝑉𝑟𝑒𝑓 𝛽 𝑉𝑟𝑒𝑓


(A) 𝐼0 = � 𝛽
� 𝑅 (B) 𝐼0 = �𝛽+1� 𝑅
(C) 𝐼0 = � 𝛽
� 2𝑅 (D) 𝐼0 = �𝛽+1� 2𝑅

EC (1) 4/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.14 The following signal Vi of peak voltage 8 V is applied to the non-inverting terminal of an ideal
opamp. The transistor has VBE = 0.7 V, β =100; VLED = 1.5 V, VCC = 10 V and −VCC = −10 V.

The number of times the LED glows is ________

EC (1) 5/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.15 Consider the oscillator circuit shown in the figure. The function of the network (shown in dotted
lines) consisting of the 100 kΩ resistor in series with the two diodes connected back-to-back is to:

(A) introduce amplitude stabilization by preventing the op amp from saturating and thus producing
sinusoidal oscillations of fixed amplitude
(B) introduce amplitude stabilization by forcing the opamp to swing between positive and negative
saturation and thus producing square wave oscillations of fixed amplitude
(C) introduce frequency stabilization by forcing the circuit to oscillate at a single frequency
(D) enable the loop gain to take on a value that produces square wave oscillations

EC (1) 6/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.16 The block diagram of a frequency synthesizer consisting of a Phase Locked Loop (PLL) and a
divide-by-𝑁 counter (comprising ÷ 2 ,÷ 4, ÷ 8, ÷ 16 outputs) is sketched below. The
synthesizer is excited with a 5 kHz signal (Input 1). The free-running frequency of the PLL is set to
20 kHz. Assume that the commutator switch makes contacts repeatedly in the order 1-2-3-4.

The corresponding frequencies synthesized are:


(A) 10 kHz, 20 kHz, 40 kHz, 80 kHz
(B) 20 kHz, 40 kHz, 80 kHz, 160 kHz
(C) 80 kHz, 40 kHz, 20 kHz, 10 kHz
(D) 160 kHz, 80 kHz, 40 kHz, 20 kHz

Q.17 The output of the combinational circuit given below is

(A) A+B+C (B) A(B+C) (C) B(C+A) (D) C(A+B)

EC (1) 7/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.18 What is the voltage Vout in the following circuit?


VDD

(A) 0 V (B) (|VT of PMOS| + VT of NMOS) / 2


(C) Switching threshold of inverter (D) VDD

Q.19 Match the inferences X, Y, and Z, about a system, to the corresponding properties of the elements
of first column in Routh’s Table of the system characteristic equation.

X: The system is stable … P: … when all elements are positive


Y: The system is unstable … Q: … when any one element is zero
Z: The test breaks down … R: … when there is a change in sign of coefficients

(A) X→P, Y→Q, Z→R (B) X→Q, Y→P, Z→R


(C) X→R, Y→Q, Z→P (D) X→P, Y→R, Z→Q

Q.20 A closed-loop control system is stable if the Nyquist plot of the corresponding open-loop transfer
function
(A) encircles the s-plane point (−1 + j0) in the counterclockwise direction as many times as the
number of right-half s-plane poles.
(B) encircles the s-plane point (0 − j1) in the clockwise direction as many times as the number of
right-half s-plane poles.
(C) encircles the s-plane point (−1 + j0) in the counterclockwise direction as many times as the
number of left-half s-plane poles.
(D) encircles the s-plane point (−1 + j0) in the counterclockwise direction as many times as the
number of right-half s-plane zeros.

Q.21 Consider binary data transmission at a rate of 56 kbps using baseband binary pulse amplitude
modulation (PAM) that is designed to have a raised-cosine spectrum. The transmission bandwidth
(in kHz) required for a roll-off factor of 0.25 is ________

EC (1) 8/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.22 A superheterodyne receiver operates in the frequency range of 58 MHz − 68 MHz. The
intermediate frequency 𝑓𝐼𝐹 and local oscillator frequency 𝑓𝐿𝑂 are chosen such that 𝑓𝐼𝐹 ≤ 𝑓𝐿𝑂 . It is
required that the image frequencies fall outside the 58 MHz − 68 MHz band. The minimum
required 𝑓𝐼𝐹 (in MHz) is ________

Q.23 The amplitude of a sinusoidal carrier is modulated by a single sinusoid to obtain the amplitude
modulated signal 𝑠(𝑡) = 5 cos 1600𝜋𝑡 + 20 cos 1800𝜋𝑡 + 5 cos 2000𝜋𝑡. The value of the
modulation index is __________

Q.24 Concentric spherical shells of radii 2 m, 4 m, and 8 m carry uniform surface charge densities of
20 nC/m2, −4 nC/m2 and ρs, respectively. The value of ρs (nC/m2) required to ensure that the
�⃗ at radius 10 m is _________
�⃗ = 0
electric flux density 𝐷

Q.25 The propagation constant of a lossy transmission line is (2 + 𝑗5) m−1 and its characteristic
impedance is (50 + 𝑗0) Ω at 𝜔 = 106 rad s−1. The values of the line constants L, C, R, G are,
respectively,
(A) L = 200 µH/m, C = 0.1 µF/m, R = 50 Ω/m, G = 0.02 S/m
(B) L = 250 µH/m, C = 0.1 µF/m, R = 100 Ω/m, G = 0.04 S/m
(C) L = 200 µH/m, C = 0.2 µF/m, R = 100 Ω/m, G = 0.02 S/m
(D) L = 250 µH/m, C = 0.2 µF/m, R = 50 Ω/m, G = 0.04 S/m

Q. 26 – Q. 55 carry two marks each.


1
Q.26 The integral ∬ (𝑥
2𝜋 𝐷
+ 𝑦 + 10)𝑑𝑥 𝑑𝑦, where 𝐷 denotes the disc: 𝑥 2 + 𝑦 2 ≤ 4, evaluates to_____

Q.27 A sequence x[n] is specified as

𝑥[𝑛] 1 1𝑛 1
� �= � � � �, for 𝑛 ≥ 2.
𝑥[𝑛 − 1] 1 0 0

The initial conditions are x[0] = 1, x[1] = 1, and x[n] = 0 for n < 0. The value of x[12] is ______

Q.28 In the following integral, the contour C encloses the points 2πj and −2πj
1 𝑠𝑖𝑛 𝑧
− � 𝑑𝑧
2𝜋 𝐶 (𝑧 − 2𝜋𝑗)3
The value of the integral is ________

π π
Q.29 The region specified by {( 𝜌, 𝜑, 𝑧): 3 ≤ 𝜌 ≤ 5, 8 ≤ 𝜑 ≤ 4 , 3 ≤ z ≤ 4.5} in cylindrical coordinates
has volume of _______

EC (1) 9/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.30 The Laplace transform of the causal periodic square wave of period T shown in the figure below is

1 1
(A) 𝐹(𝑠) = (B) 𝐹(𝑠) = 𝑠𝑇
1+𝑒 −𝑠𝑇/2 −
𝑠�1+𝑒 2 �
1 1
(C) 𝐹(𝑠) = (D) 𝐹(𝑠) =
𝑠(1−𝑒 −𝑠𝑇 ) 1−𝑒 −𝑠𝑇

Q.31 A network consisting of a finite number of linear resistor (R), inductor (L), and capacitor (C)
elements, connected all in series or all in parallel, is excited with a source of the form
3

� 𝑎𝑘 cos(𝑘𝜔0 𝑡) , where 𝑎𝑘 ≠ 0, 𝜔0 ≠ 0.
𝑘=1

The source has nonzero impedance. Which one of the following is a possible form of the output
measured across a resistor in the network?
(A) (B)
3 4

� 𝑏𝑘 cos(𝑘𝜔0 𝑡 + 𝜙𝑘 ) , where 𝑏𝑘 ≠ 𝑎𝑘 , ∀ 𝑘 � 𝑏𝑘 cos(𝑘𝜔0 𝑡 + 𝜙𝑘 ) , where 𝑏𝑘 ≠ 0, ∀ 𝑘


𝑘=1 𝑘=1
(C) (D)
3 2

� 𝑎𝑘 cos(𝑘𝜔0 𝑡 + 𝜙𝑘 ) � 𝑎𝑘 cos(𝑘𝜔0 𝑡 + 𝜙𝑘 )
𝑘=1 𝑘=1

Q.32 A first-order low-pass filter of time constant T is excited with different input signals (with zero
initial conditions up to t = 0). Match the excitation signals X, Y, Z with the corresponding time
responses for t ≥ 0:

X: Impulse P: 1 − 𝑒 −𝑡/𝑇
Y: Unit step Q: t − T(1 − 𝑒 −𝑡/𝑇 )
Z: Ramp R: 𝑒 −𝑡/𝑇

(A) X→R, Y→Q, Z→P (B) X→Q, Y→P, Z→R


(C) X→R, Y→P, Z→Q (D) X→P, Y→R, Z→Q

EC (1) 10/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.33 An AC voltage source V = 10 sin(t) volts is applied to the following network. Assume that R1 = 3
kΩ, R2 = 6 kΩ and R3 = 9 kΩ, and that the diode is ideal.

Irms ↑

RMS current Irms (in mA) through the diode is ________

Q.34 In the circuit shown in the figure,


the maximum power (in watt) delivered to the resistor R is __________

3 kΩ 10 kΩ
100 v0
5V 2 kΩ v0 40 kΩ R

Q.35 Consider the signal


𝑥[𝑛] = 6 𝛿[𝑛 + 2] + 3𝛿[𝑛 + 1] + 8𝛿[𝑛] + 7𝛿[𝑛 − 1] + 4𝛿[𝑛 − 2] .

If 𝑋(𝑒 𝑗𝜔 ) is the discrete-time Fourier transform of x[n] ,


1 𝜋
then 𝜋 ∫−𝜋 𝑋�𝑒 𝑗𝜔 � sin2(2𝜔) 𝑑𝜔 is equal to _________

EC (1) 11/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.36 Consider a silicon p-n junction with a uniform acceptor doping concentration of 1017 cm−3 on the p-
side and a uniform donor doping concentration of 1016 cm−3 on the n-side. No external voltage is
applied to the diode. Given: kT/q = 26 mV, ni =1.5 ×1010 cm−3, εSi = 12ε0, ε0 = 8.85 × 10−14 F/m,
and q = 1.6 ×10−19 C.
The charge per unit junction area (nC cm−2) in the depletion region on the p-side is ___________

Q.37 Consider an n-channel metal oxide semiconductor field effect transistor (MOSFET) with a gate-to-
𝑊
source voltage of 1.8 V. Assume that 𝐿 = 4, 𝜇𝑁 𝐶𝑜𝑥 = 70 × 10−6 AV −2 , the threshold voltage is
0.3V, and the channel length modulation parameter is 0.09 V−1. In the saturation region, the drain
conductance (in micro seimens) is ________

Q.38 The figure below shows the doping distribution in a p-type semiconductor in log scale.

The magnitude of the electric field (in kV/cm) in the semiconductor due to non uniform doping
is _________

Q.39 Consider a silicon sample at T = 300 K, with a uniform donor density 𝑁𝑑 = 5 × 1016 cm−3,
illuminated uniformly such that the optical generation rate is 𝐺𝑜𝑝𝑡 = 1.5 × 1020 cm−3 𝑠 −1
throughout the sample. The incident radiation is turned off at 𝑡 = 0. Assume low-level injection to
be valid and ignore surface effects. The carrier lifetimes are 𝜏𝑝0 = 0.1 µs and 𝜏𝑛0 = 0.5 µs.

The hole concentration at 𝑡 = 0 and the hole concentration at 𝑡 = 0.3 µs, respectively, are
(A) 1.5 × 1013 cm−3 and 7.47 × 1011 cm−3
(B) 1.5 × 1013 cm−3 and 8.23 × 1011 cm−3
(C) 7.5 × 1013 cm−3 and 3.73 × 1011 cm−3
(D) 7.5 × 1013 cm−3 and 4.12 × 1011 cm−3

EC (1) 12/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.40 An ideal opamp has voltage sources V1, V3, V5, …, VN-1 connected to the non-inverting input and V2,
V4, V6, …, VN connected to the inverting input as shown in the figure below (+VCC = 15 volt,
−VCC = −15 volt). The voltages V1, V2, V3, V4, V5, V6,… are 1, − 1/2, 1/3, −1/4, 1/5, −1/6, … volt,
respectively. As N approaches infinity, the output voltage (in volt) is ___________

Q.41 A p-i-n photodiode of responsivity 0.8A/W is connected to the inverting input of an ideal opamp as
shown in the figure, +Vcc = 15 V, −Vcc = −15V, Load resistor RL = 10 kΩ. If 10 µW of power is
incident on the photodiode, then the value of the photocurrent (in µA) through the load is ________

EC (1) 13/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.42 Identify the circuit below.

(A) Binary to Gray code converter (B) Binary to XS3 converter


(C) Gray to Binary converter (D) XS3 to Binary converter

Q.43 The functionality implemented by the circuit below is

(A) 2-to-1 multiplexer (B) 4-to-1 multiplexer


(C) 7-to-1 multiplexer (D) 6-to-1 multiplexer

EC (1) 14/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.44 In an 8085 system, a PUSH operation requires more clock cycles than a POP operation. Which one
of the following options is the correct reason for this?

(A) For POP, the data transceivers remain in the same direction as for instruction fetch (memory to
processor), whereas for PUSH their direction has to be reversed.

(B) Memory write operations are slower than memory read operations in an 8085 based system.

(C) The stack pointer needs to be pre-decremented before writing registers in a PUSH, whereas a
POP operation uses the address already in the stack pointer.

(D) Order of registers has to be interchanged for a PUSH operation, whereas POP uses their natural
order.

Q.45 The open-loop transfer function of a unity-feedback control system is


𝐾
𝐺(𝑠) = 𝑠2 +5𝑠+5

The value of K at the breakaway point of the feedback control system’s root-locus plot is ________

Q.46 The open-loop transfer function of a unity-feedback control system is given by

𝐾
𝐺(𝑠) =
𝑠(𝑠 + 2)

For the peak overshoot of the closed-loop system to a unit step input to be 10%, the value of K is
____________

Q.47 The transfer function of a linear time invariant system is given by

H(s) = 2𝑠 4 − 5𝑠 3 + 5𝑠 − 2

The number of zeros in the right half of the s-plane is ________

Q.48 Consider a discrete memoryless source with alphabet 𝑆 = {𝑠0 , 𝑠1 , 𝑠2 , 𝑠3 , 𝑠4 , … } and respective
1 1 1 1 1
probabilities of occurrence P = �2 , 4 , 8 , 16 , 32 , … �. The entropy of the source (in bits) is _______

Q.49 A digital communication system uses a repetition code for channel encoding/decoding. During
transmission, each bit is repeated three times (0 is transmitted as 000, and 1 is transmitted as 111).
It is assumed that the source puts out symbols independently and with equal probability. The
decoder operates as follows: In a block of three received bits, if the number of zeros exceeds the
number of ones, the decoder decides in favor of a 0, and if the number of ones exceeds the number
of zeros, the decoder decides in favor of a 1. Assuming a binary symmetric channel with
crossover probability p = 0.1, the average probability of error is ________

EC (1) 15/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.50 An analog pulse s(t) is transmitted over an additive white Gaussian noise (AWGN) channel. The
received signal is r(t) = s(t) + n(t), where n(t) is additive white Gaussian noise with power spectral
𝑁
density 0 . The received signal is passed through a filter with impulse response h(t). Let 𝐸𝑠 and 𝐸ℎ
2
denote the energies of the pulse s(t) and the filter h(t), respectively. When the signal-to-noise ratio
(SNR) is maximized at the output of the filter (SNRmax), which of the following holds?
2𝐸𝑠 𝐸
(A) 𝐸𝑠 = 𝐸ℎ ; SNR max = 𝑁0
(B) 𝐸𝑠 = 𝐸ℎ ; SNR max = 2𝑁𝑠
0
2𝐸𝑠 2𝐸ℎ
(C) 𝐸𝑠 > 𝐸ℎ ; SNR max > 𝑁0
(D) 𝐸𝑠 < 𝐸ℎ ; SNR max = 𝑁0

Q.51 The current density in a medium is given by


400 𝑠𝑖𝑛𝜃
�𝐽⃗ = 𝑎� Am−2
2𝜋(𝑟 2 + 4) 𝑟
The total current and the average current density flowing through the portion of a spherical surface
𝜋 𝜋
r = 0.8 m,
12
≤ 𝜃 ≤ , 0 ≤ 𝜙 ≤ 2𝜋 are given, respectively, by
4

(A) 15.09 A, 12.86 Am-2 (B) 18.73 A, 13.65 Am-2


(C) 12.86 A, 9.23 Am-2 (D) 10.28 A, 7.56 Am-2

Q.52 An antenna pointing in a certain direction has a noise temperature of 50 K. The ambient
temperature is 290 K. The antenna is connected to a pre-amplifier that has a noise figure of 2 dB
and an available gain of 40 dB over an effective bandwidth of 12 MHz. The effective input noise
temperature Te for the amplifier and the noise power Pao at the output of the preamplifier,
respectively, are
(A) Te = 169.36 K and Pao = 3.73×10-10 W (B) Te = 170.8 K and Pao = 4.56×10-10 W
(C) Te = 182.5 K and Pao = 3.85×10-10 W (D) Te = 160.62 K and Pao = 4.6×10-10 W

Q.53 Two lossless X-band horn antennas are separated by a distance of 200λ. The amplitude reflection
coefficients at the terminals of the transmitting and receiving antennas are 0.15 and 0.18,
respectively. The maximum directivities of the transmitting and receiving antennas (over the
isotropic antenna) are 18 dB and 22 dB, respectively. Assuming that the input power in the lossless
transmission line connected to the antenna is 2 W, and that the antennas are perfectly aligned and
polarization matched, the power ( in mW) delivered to the load at the receiver is ________

EC (1) 16/17
GATE 2016 Electronics and Communication Engineering (Set 1)

Q.54 The electric field of a uniform plane wave travelling along the negative z direction is given by the
following equation:
𝐸�⃗𝑤𝑖 = �𝑎�𝑥 + 𝑗𝑎�𝑦 �𝐸0 𝑒 𝑗𝑘𝑧

This wave is incident upon a receiving antenna placed at the origin and whose radiated electric field
towards the incident wave is given by the following equation:

1
𝐸�⃗𝑎 = �𝑎�𝑥 + 2𝑎�𝑦 �𝐸𝐼 𝑒 −𝑗𝑘𝑟
𝑟

The polarization of the incident wave, the polarization of the antenna and losses due to the
polarization mismatch are, respectively,
(A) Linear, Circular (clockwise), −5dB (B) Circular (clockwise), Linear, −5dB
(C) Circular (clockwise), Linear, −3dB (D) Circular (anti clockwise), Linear, −3dB

Q.55 The far-zone power density radiated by a helical antenna is approximated as:
1
𝑊���⃗𝑟𝑎𝑑 = 𝑊
���⃗𝑎𝑣𝑒𝑟𝑎𝑔𝑒 ≈ 𝑎
�𝐶 4
𝑟 0 2 𝑐𝑜𝑠 θ
𝑟
The radiated power density is symmetrical with respect to φ and exists only in the upper
𝜋
hemisphere: 0 ≤ 𝜃 ≤ ; 0 ≤ 𝜙 ≤ 2𝜋; 𝐶0 is a constant. The power radiated by the antenna (in
2
watts) and the maximum directivity of the antenna, respectively, are
(A) 1.5C0 , 10dB (B) 1.256C0 , 10dB (C) 1.256C0 , 12dB (D) 1.5C0 , 12dB

END OF THE QUESTION PAPER

EC (1) 17/17
GATE 2016 General Aptitude - GA Set-3

Q. 1 – Q. 5 carry one mark each.


Q.1 Based on the given statements, select the appropriate option with respect to grammar and usage.

Statements
(i) The height of Mr. X is 6 feet.
(ii) The height of Mr. Y is 5 feet.

(A) Mr. X is longer than Mr. Y.

(B) Mr. X is more elongated than Mr. Y.

(C) Mr. X is taller than Mr. Y.

(D) Mr. X is lengthier than Mr. Y.

Q.2 The students ___________ the teacher on teachers’ day for twenty years of dedicated teaching.

(A) facilitated (B) felicitated (C) fantasized (D) facillitated

Q.3 After India’s cricket world cup victory in 1985, Shrotria who was playing both tennis and cricket
till then, decided to concentrate only on cricket. And the rest is history.

What does the underlined phrase mean in this context?


(A) history will rest in peace (B) rest is recorded in history books

(C) rest is well known (D) rest is archaic

Q.4 ½ ½
Given (9 inches) = (0.25 yards) , which one of the following statements is TRUE?

(A) 3 inches = 0.5 yards (B) 9 inches = 1.5 yards

(C) 9 inches = 0.25 yards (D) 81 inches = 0.0625 yards

Q.5 S, M, E and F are working in shifts in a team to finish a project. M works with twice the efficiency
of others but for half as many days as E worked. S and M have 6 hour shifts in a day, whereas E
and F have 12 hours shifts. What is the ratio of contribution of M to contribution of E in the
project?

(A) 1:1 (B) 1:2 (C) 1:4 (D) 2:1

1/3
GATE 2016 General Ap
ptitude - GA Set-3

Q. 6 – Q. 10 carry tw
wo marks each.
Q.6 Thee Venn diagrram shows thhe preferencee of the studeent populatioon for leisuree activities.

Froom the data given,


g the num
mber of studdents who lik
ke to read boooks or play ssports is ____
__.
(A)) 44 (B) 51 (C) 79 (D) 108

Q.7 Soccial science disciplines were


w in existtence in an amorphous
a f
form until thhe colonial period when
theyy were instittutionalized. In varying degrees, theyy were intennded to furthher the colon nial interest.
In the
t time of globalization
g and the econnomic rise of postcoloniaal countries llike India, co
onventional
wayys of knowleedge producttion have beccome obsolette.

Whhich of the foollowing can be logicallyy inferred from


m the above statements?

(i) Soccial science disciplines


d have become obsolete.
(ii) Soccial science disciplines
d had a pre-colo
onial origin.
(iii) Soccial science disciplines
d allways promoote colonialissm.
(iv) Soccial science must
m maintaiin disciplinarry boundariees.

(A)) (ii) only (B) (i) and (iii)) only

(C)) (ii) and (ivv) only (D) (iii) and (ivv) only

Q.8 Tw or, the reflecttion of a walll clock without number


wo and a quarrter hours baack, when seeen in a mirro
marrkings seemeed to show 1:30. What iss the actual cu
urrent time shown
s by thee clock?

(A)) 8:15 (B) 11:15 (C) 12:15 (D) 12:45

Q.9 M and N start from


f the sam
me location. M travels 10
0 km East annd then 10 km
m North-Easst. N travels
5 km
k South andd then 4 km South-East. What is thee shortest disstance (in km m) between M and N at
the end of their travel?

(A)) 18.60 (B) 22.50 (C) 20.61 (D) 25.00

2/33
GATE 2016 General Aptitude - GA Set-3

Q.10 A wire of length 340 mm is to be cut into two parts. One of the parts is to be made into a square and
the other into a rectangle where sides are in the ratio of 1:2. What is the length of the side of the
square (in mm) such that the combined area of the square and the rectangle is a MINIMUM?

(A) 30 (B) 40 (C) 120 (D) 180

END OF THE QUESTION PAPER

3/3
GATE 2016 Electronics and Communication Engineering (Set 2)

Q. 1 – Q. 25 carry one mark each.


Q.1
3 2 4
The value of 𝑥 for which the matrix 𝐴 = � 9 7 13 �
−6 −4 −9 + 𝑥
has zero as an eigenvalue is ________

Q.2 Consider the complex valued function 𝑓(𝑧) = 2𝑧 3 + 𝑏 |𝑧|3 where 𝑧 is a complex variable.
The value of 𝑏 for which the function 𝑓(𝑧) is analytic is ________

Q.3 As 𝑥 varies from −1 to +3, which one of the following describes the behaviour of the function
𝑓(𝑥) = 𝑥 3 – 3𝑥 2 + 1?
(A) 𝑓(𝑥) increases monotonically.
(B) 𝑓(𝑥) increases, then decreases and increases again.
(C) 𝑓(𝑥) decreases, then increases and decreases again.
(D) 𝑓(𝑥) increases and then decreases.

Q.4 How many distinct values of 𝑥 satisfy the equation sin(𝑥) = 𝑥/2, where 𝑥 is in radians?
(A) 1 (B) 2 (C) 3 (D) 4 or more

Q.5 Consider the time-varying vector 𝐈 = 𝐱� 15 cos(𝜔𝑡) + 𝐲� 5 sin(𝜔𝑡) in Cartesian coordinates, where
𝜔 > 0 is a constant. When the vector magnitude |𝐈| is at its minimum value, the angle 𝜃 that 𝐈
makes with the 𝑥 axis (in degrees, such that 0 ≤ 𝜃 ≤ 180) is ________

Q.6 In the circuit shown below, 𝑉𝑆 is a constant voltage source and 𝐼𝐿 is a constant current load.

The value of 𝐼𝐿 that maximizes the power absorbed by the constant current load is
𝑉𝑆 𝑉𝑆 𝑉𝑆 (D) ∞
(A) (B) (C)
4𝑅 2𝑅 𝑅

EC (2) 1/14
GATE 2016 Electronics and Communication Engineering (Set 2)

Q.7 The switch has been in position 1 for a long time and abruptly changes to position 2 at 𝑡 = 0.

If time 𝑡 is in seconds, the capacitor voltage VC (in volts) for 𝑡 > 0 is given by
(A) 4(1 − exp(−𝑡/0.5))
(B) 10 − 6 exp(−𝑡/0.5)
(C) 4(1 − exp(−𝑡/0.6))
(D) 10 − 6 exp(−𝑡/0.6)

Q.8 The figure shows an RLC circuit with a sinusoidal current source.

At resonance, the ratio |𝐈𝐋 |/|𝐈𝐑 |, i.e., the ratio of the magnitudes of the inductor current phasor and
the resistor current phasor, is ________

Q.9 The z-parameter matrix for the two-port network shown is

2𝑗𝜔 𝑗𝜔
� �,
𝑗𝜔 3 + 2𝑗𝜔

where the entries are in Ω. Suppose 𝑍𝑏 (𝑗𝜔) = 𝑅𝑏 + 𝑗𝜔 .

Then the value of 𝑅𝑏 (in Ω) equals ________

Q.10 sin(4𝜋𝑡)
The energy of the signal 𝑥 (𝑡 ) = is ________
4𝜋𝑡

EC (2) 2/14
GATE 2016 Electronics and Communication Engineering (Set 2)

Q.11 The Ebers-Moll model of a BJT is valid


(A) only in active mode
(B) only in active and saturation modes
(C) only in active and cut-off modes
(D) in active, saturation and cut-off modes

Q.12 A long-channel NMOS transistor is biased in the linear region with 𝑉𝐷𝑆 =50 mV and is used as a
resistance. Which one of the following statements is NOT correct?
(A) If the device width 𝑊 is increased, the resistance decreases.
(B) If the threshold voltage is reduced, the resistance decreases.
(C) If the device length 𝐿 is increased, the resistance increases.
(D) If 𝑉𝐺𝑆 is increased, the resistance increases.

Q.13 Assume that the diode in the figure has 𝑉𝑜𝑛 = 0.7 𝑉, but is otherwise ideal.

The magnitude of the current i2 (in mA) is equal to ________

Q.14 Resistor R1 in the circuit below has been adjusted so that I1 = 1 mA. The bipolar transistors Q1 and
Q2 are perfectly matched and have very high current gain, so their base currents are negligible. The
supply voltage Vcc is 6 V. The thermal voltage 𝑘𝑇/𝑞 is 26 mV.

The value of R 2 (in Ω) for which I2 =100 µA is ________

EC (2) 3/14
GATE 2016 Electronics and Communication Engineering (Set 2)

Q.15 Which one of the following statements is correct about an ac-coupled common-emitter amplifier
operating in the mid-band region?
(A) The device parasitic capacitances behave like open circuits, whereas coupling and bypass
capacitances behave like short circuits.
(B) The device parasitic capacitances, coupling capacitances and bypass capacitances behave like
open circuits.
(C) The device parasitic capacitances, coupling capacitances and bypass capacitances behave like
short circuits.
(D) The device parasitic capacitances behave like short circuits, whereas coupling and bypass
capacitances behave like open circuits.

Q.16 Transistor geometries in a CMOS inverter have been adjusted to meet the requirement for worst
case charge and discharge times for driving a load capacitor C. This design is to be converted to
that of a NOR circuit in the same technology, so that its worst case charge and discharge times
while driving the same capacitor are similar. The channel lengths of all transistors are to be kept
unchanged. Which one of the following statements is correct?

(A) Widths of PMOS transistors should be doubled, while widths of NMOS transistors should be
halved.
(B) Widths of PMOS transistors should be doubled, while widths of NMOS transistors should not
be changed.
(C) Widths of PMOS transistors should be halved, while widths of NMOS transistors should not be
changed.
(D) Widths of PMOS transistors should be unchanged, while widths of NMOS transistors should be
halved.

Q.17 Assume that all the digital gates in the circuit shown in the figure are ideal, the resistor 𝑅 = 10 𝑘Ω
and the supply voltage is 5 𝑉. The D flip-flops D1, D2, D3, D4 and D5 are initialized with logic
values 0,1,0,1 and 0, respectively. The clock has a 30% duty cycle.

The average power dissipated (in mW) in the resistor 𝑅 is ________

EC (2) 4/14
GATE 2016 Electronics and Communication Engineering (Set 2)

Q.18 A 4:1 multiplexer is to be used for generating the output carry of a full adder. A and B are the bits
to be added while 𝐶in is the input carry and 𝐶out is the output carry. A and B are to be used as the
select bits with A being the more significant select bit.

Which one of the following statements correctly describes the choice of signals to be connected to
the inputs I0 , I1 , I2 and I3 so that the output is Cout ?
(A) I0 =0, I1 =Cin, I2 =Cin and I3 =1
(B) I0 =1, I1 =Cin, I2 =Cin and I3 =1
(C) I0 =Cin, I1 =0, I2 =1 and I3 =Cin
(D) I0 =0, I1 =Cin, I2 =1 and I3 =Cin

Q.19 𝑠−2
The response of the system 𝐺(𝑠) = (𝑠+1)(𝑠+3) to the unit step input 𝑢(𝑡) is 𝑦(𝑡).
𝑑𝑦
The value of 𝑑𝑡
at 𝑡 = 0+ is _________

Q.20 The number and direction of encirclements around the point −1 + 𝑗0 in the complex plane by the
1−𝑠
Nyquist plot of 𝐺(𝑠) = 4+2𝑠 is

(A) zero. (B) one, anti-clockwise.


(C) one, clockwise. (D) two, clockwise.

Q.21 A discrete memoryless source has an alphabet {𝑎1 , 𝑎2 , 𝑎3 , 𝑎4 } with corresponding probabilities
1 1 1 1
�2 , 4 , 8 , 8 �. The minimum required average codeword length in bits to represent this source for
error-free reconstruction is ________

Q.22 A speech signal is sampled at 8 kHz and encoded into PCM format using 8 bits/sample. The PCM
data is transmitted through a baseband channel via 4-level PAM. The minimum bandwidth (in kHz)
required for transmission is ________

Q.23 A uniform and constant magnetic field 𝐁 = 𝐳�𝐵 exists in the 𝐳� direction in vacuum. A particle of
mass 𝑚 with a small charge 𝑞 is introduced into this region with an initial velocity 𝐯 = 𝐱�𝑣𝑥 + 𝐳�𝑣𝑧 .
Given that 𝐵, 𝑚, 𝑞, 𝑣𝑥 and 𝑣𝑧 are all non-zero, which one of the following describes the eventual
trajectory of the particle?
(A) Helical motion in the 𝐳� direction.
(B) Circular motion in the 𝑥𝑦 plane.
(C) Linear motion in the 𝐳� direction.
(D) Linear motion in the 𝐱� direction.

EC (2) 5/14
GATE 2016 Electronics and Communication Engineering (Set 2)

Q.24 Let the electric field vector of a plane electromagnetic wave propagating in a homogenous medium
be expressed as 𝐄 = 𝐱�𝐸𝑥 𝑒 −𝑗(𝜔𝑡−𝛽𝑧) , where the propagation constant 𝛽 is a function of the angular
frequency 𝜔. Assume that 𝛽(𝜔) and 𝐸𝑥 are known and are real. From the information available,
which one of the following CANNOT be determined?
(A) The type of polarization of the wave.
(B) The group velocity of the wave.
(C) The phase velocity of the wave.
(D) The power flux through the 𝑧 = 0 plane.

Q.25 Light from free space is incident at an angle 𝜃𝑖 to the normal of the facet of a step-index large core
optical fibre. The core and cladding refractive indices are 𝑛1 = 1.5 and 𝑛2 = 1.4, respectively.

The maximum value of 𝜃𝑖 (in degrees) for which the incident light will be guided in the core of the
fibre is ________

Q. 26 – Q. 55 carry two marks each.

Q.26 The ordinary differential equation


𝑑𝑥
𝑑𝑡
= −3 𝑥 + 2, with 𝑥(0) = 1
is to be solved using the forward Euler method. The largest time step that can be used to solve the
equation without making the numerical solution unstable is ________

Q.27 Suppose C is the closed curve defined as the circle 𝑥 2 + 𝑦 2 = 1 with C oriented anti-clockwise.
The value of ∮(𝑥𝑦 2 𝑑𝑥 + 𝑥 2 𝑦 𝑑𝑦) over the curve C equals ________

Q.28 Two random variables 𝑋 and 𝑌 are distributed according to

(𝑥 + 𝑦), 0 ≤ 𝑥 ≤ 1, 0 ≤ 𝑦 ≤ 1
𝑓𝑋,𝑌 (𝑥, 𝑦) = �
0, otherwise.

The probability 𝑃(𝑋 + 𝑌 ≤ 1) is ________

EC (2) 6/14
GATE 2016 Electronics and Communication Engineering (Set 2)

Q.29 a 0 3 7
2 5 1 3
The matrix A =  has det(𝐴) = 100 and trace(𝐴) = 14.
0 0 2 4
 
0 0 0 b

The value of |𝑎 − 𝑏| is ________

Q.30 In the given circuit, each resistor has a value equal to 1 Ω.

What is the equivalent resistance across the terminals 𝑎 and 𝑏?


(A) 1/6 Ω (B) 1/3 Ω (C) 9/20 Ω (D) 8/15 Ω

Q.31 In the circuit shown in the figure, the magnitude of the current (in amperes) through R 2 is ___

Q.32 2𝑠 + 6
A continuous-time filter with transfer function 𝐻(𝑠) = is converted to a discrete-
𝑠2 + 6𝑠 + 8
2𝑧 2 − 0.5032 𝑧
time filter with transfer function 𝐺(𝑧) = so that the impulse response of the
𝑧2 − 0.5032 𝑧 + 𝑘
continuous-time filter, sampled at 2 Hz, is identical at the sampling instants to the impulse response
of the discrete time filter. The value of 𝑘 is ________

EC (2) 7/14
GATE 2016 Electronics and Communication Engineering (Set 2)
Q.33 The Discrete Fourier Transform (DFT) of the 4-point sequence
𝑥[𝑛] = {𝑥[0], 𝑥[1], 𝑥[2], 𝑥[3]} = {3, 2, 3, 4} is
𝑋[𝑘] = {𝑋[0], 𝑋[1], 𝑋[2], 𝑋[3]} = {12, 2𝑗, 0, −2𝑗}.
If X1[k] is the DFT of the 12-point sequence 𝑥1 [𝑛] = {3, 0, 0, 2, 0, 0, 3, 0, 0, 4, 0, 0},
𝑋1 [8]
the value of � � is ________
𝑋1 [11]

Q.34 The switch S in the circuit shown has been closed for a long time. It is opened at time 𝑡 = 0 and
remains open after that. Assume that the diode has zero reverse current and zero forward voltage
drop.

The steady state magnitude of the capacitor voltage 𝑉C (in volts) is ______

Q.35 A voltage 𝑉𝐺 is applied across a MOS capacitor with metal gate and p-type silicon substrate at
T=300 K. The inversion carrier density (in number of carriers per unit area) for 𝑉𝐺 = 0.8 V is
2 × 1011 cm−2. For 𝑉𝐺 = 1.3 V, the inversion carrier density is 4 × 1011 cm−2 . What is the value
of the inversion carrier density for 𝑉𝐺 = 1.8 V?
(A) 4.5 × 1011 cm−2 (B) 6.0 × 1011 cm−2
(C) 7.2 × 1011 cm−2 (D) 8.4 × 1011 cm−2

Q.36 Consider avalanche breakdown in a silicon 𝑝+ 𝑛 junction. The 𝑛-region is uniformly doped with a
donor density 𝑁𝐷 . Assume that breakdown occurs when the magnitude of the electric field at any
point in the device becomes equal to the critical field 𝐸𝑐𝑟𝑖𝑡 . Assume 𝐸𝑐𝑟𝑖𝑡 to be independent of 𝑁𝐷 .
If the built-in voltage of the 𝑝+ 𝑛 junction is much smaller than the breakdown voltage, 𝑉𝐵𝑅 , the
relationship between 𝑉𝐵𝑅 and 𝑁𝐷 is given by

(A) 𝑉𝐵𝑅 × �𝑁𝐷 = constant (B) 𝑁𝐷 × �𝑉𝐵𝑅 = constant


(C) 𝑁𝐷 × 𝑉𝐵𝑅 = constant (D) 𝑁𝐷 /𝑉𝐵𝑅 = constant

EC (2) 8/14
GATE 2016 Electronics and Communication Engineering (Set 2)
Q.37 Consider a region of silicon devoid of electrons and holes, with an ionized donor density of
𝑁𝑑+ = 1017 cm−3 . The electric field at 𝑥 = 0 is 0 V/cm and the electric field at 𝑥 = 𝐿 is
50 kV/cm in the positive 𝑥 direction. Assume that the electric field is zero in the 𝑦 and 𝑧 directions
at all points.

Given 𝑞 = 1.6 × 10−19 coulomb, 𝜖0 = 8.85 × 10−14 F/cm, 𝜖𝑟 = 11.7 for silicon, the value of 𝐿 in
nm is ________

Q.38 Consider a long-channel NMOS transistor with source and body connected together. Assume that
the electron mobility is independent of 𝑉𝐺𝑆 and 𝑉𝐷𝑆 . Given,

𝑔𝑚 = 0.5 𝜇A/V for 𝑉𝐷𝑆 = 50 mV and 𝑉𝐺𝑆 = 2 V,


𝑔𝑑 = 8 𝜇A/V for 𝑉𝐺𝑆 = 2 V and 𝑉𝐷𝑆 = 0 V,

𝜕𝐼 𝜕𝐼
where 𝑔𝑚 = 𝜕𝑉 𝐷 and 𝑔𝑑 = 𝜕𝑉 𝐷
𝐺𝑆 𝐷𝑆

The threshold voltage (in volts) of the transistor is ________

Q.39 The figure shows a half-wave rectifier with a 475 𝜇F filter capacitor. The load draws a constant
current 𝐼𝑂 = 1 A from the rectifier. The figure also shows the input voltage 𝑉𝑖 , the output voltage
𝑉𝐶 and the peak-to-peak voltage ripple 𝑢 on 𝑉𝐶 . The input voltage 𝑉𝑖 is a triangle-wave with an
amplitude of 10 V and a period of 1 ms.

The value of the ripple 𝑢 (in volts) is ________

EC (2) 9/14
GATE 2016 Electronics and Communication Engineering (Set 2)
Q.40 In the opamp circuit shown, the Zener diodes 𝑍1 and 𝑍2 clamp the output voltage 𝑉𝑂 to +5 V or
−5 V. The switch S is initially closed and is opened at time 𝑡 = 0.

The time 𝑡 = 𝑡1 (in seconds) at which 𝑉𝑂 changes state is ________

Q.41 An opamp has a finite open loop voltage gain of 100. Its input offset voltage Vios (= +5mV) is
modeled as shown in the circuit below. The amplifier is ideal in all other respects. Vinput is 25 mV.

The output voltage (in millivolts) is ________

Q.42 An 8 Kbyte ROM with an active low Chip Select input (CS ���) is to be used in an 8085
microprocessor based system. The ROM should occupy the address range 1000H to 2FFFH. The
address lines are designated as 𝐴15 to 𝐴0 , where 𝐴15 is the most significant address bit.
Which one of the following logic expressions will generate the correct ���CS signal for this ROM?
(A) 𝐴15 + 𝐴14 + (𝐴13 ⋅ 𝐴12 + 𝐴 ����� �����
13 ⋅ 𝐴12 )
(B) 𝐴15 ⋅ 𝐴14 ⋅ (𝐴13 + 𝐴12 )
(C) �����
𝐴 ����� ����� �����
15 ⋅ 𝐴14 ⋅ (𝐴13 ⋅ 𝐴12 + 𝐴13 ⋅ 𝐴12 )
(D) �����
𝐴 �����
15 + 𝐴14 + 𝐴13 ⋅ 𝐴12

EC (2) 10/14
GATE 2016 Electronics and Communication Engineering (Set 2)
Q.43 In an N bit flash ADC, the analog voltage is fed simultaneously to 2𝑁 − 1 comparators. The output
of the comparators is then encoded to a binary format using digital circuits. Assume that the analog
voltage source Vin (whose output is being converted to digital format) has a source resistance of
75 Ω as shown in the circuit diagram below and the input capacitance of each comparator is 8 pF.
The input must settle to an accuracy of 1/2 LSB even for a full scale input change for proper
conversion. Assume that the time taken by the thermometer to binary encoder is negligible.

If the flash ADC has 8 bit resolution, which one of the following alternatives is closest to the
maximum sampling rate ?
(A) 1 megasamples per second
(B) 6 megasamples per second
(C) 64 megasamples per second
(D) 256 megasamples per second

Q.44 The state transition diagram for a finite state machine with states A, B and C, and binary inputs X,
Y and Z, is shown in the figure.

Which one of the following statements is correct?


(A) Transitions from State A are ambiguously defined.
(B) Transitions from State B are ambiguously defined.
(C) Transitions from State C are ambiguously defined.
(D) All of the state transitions are defined unambiguously.

EC (2) 11/14
GATE 2016 Electronics and Communication Engineering (Set 2)
Q.45 1
In the feedback system shown below 𝐺(𝑠) = .
(𝑠 2 +2𝑠)
The step response of the closed-loop system should have minimum settling time and have no
overshoot.

The required value of gain 𝑘 to achieve this is ________

Q.46 1
In the feedback system shown below 𝐺(𝑠) = (𝑠+1)(𝑠+2)(𝑠+3) .

The positive value of 𝑘 for which the gain margin of the loop is exactly 0 dB and the phase margin
of the loop is exactly zero degree is ________

Q.47 𝑘
The asymptotic Bode phase plot of 𝐺(𝑠) = (𝑠+0.1)(𝑠+10)(𝑠+𝑝 , with 𝑘 and 𝑝1 both positive,
1)
is shown below.

The value of 𝑝1 is ________

Q.48 An information source generates a binary sequence {𝛼𝑛 }. 𝛼𝑛 can take one of the two possible
values −1 and +1 with equal probability and are statistically independent and identically
distributed. This sequence is precoded to obtain another sequence {𝛽𝑛 }, as 𝛽𝑛 = 𝛼𝑛 + 𝑘 𝛼𝑛−3 .
The sequence {𝛽𝑛 } is used to modulate a pulse 𝑔(𝑡) to generate the baseband signal
1, 0≤𝑡≤𝑇
𝑋(𝑡) = ∑∞ 𝑛=−∞ 𝛽𝑛 𝑔(𝑡 − 𝑛𝑇), where 𝑔(𝑡) = � 0, otherwise.
1
If there is a null at 𝑓 = 3𝑇 in the power spectral density of 𝑋(𝑡), then 𝑘 is ________

EC (2) 12/14
GATE 2016 Electronics and Communication Engineering (Set 2)
Q.49 An ideal band-pass channel 500 Hz - 2000 Hz is deployed for communication. A modem is
designed to transmit bits at the rate of 4800 bits/s using 16-QAM. The roll-off factor of a pulse with
a raised cosine spectrum that utilizes the entire frequency band is ________

Q.50 Consider a random process 𝑋(𝑡) = 3𝑉(𝑡) − 8, where 𝑉(𝑡) is a zero mean stationary random
process with autocorrelation 𝑅𝑣 (𝜏) = 4𝑒 −5|𝜏| . The power in 𝑋(𝑡) is ________

Q.51 A binary communication system makes use of the symbols “zero” and “one”. There are channel
errors. Consider the following events:
𝑥0 : a "zero" is transmitted
𝑥1 : a "one" is transmitted
𝑦0 : a "zero" is received
𝑦1 : a "one" is received
1 3 1
The following probabilities are given: 𝑃(𝑥0 ) = , 𝑃(𝑦0 |𝑥0 ) = , and 𝑃(𝑦0 |𝑥1 ) = . The
2 4 2
information in bits that you obtain when you learn which symbol has been received (while you
know that a “zero” has been transmitted) is ________

Q.52 The parallel-plate capacitor shown in the figure has movable plates. The capacitor is charged so that
the energy stored in it is 𝐸 when the plate separation is 𝑑. The capacitor is then isolated electrically
and the plates are moved such that the plate separation becomes 2𝑑.

At this new plate separation, what is the energy stored in the capacitor, neglecting fringing effects?
(A) 2𝐸 (B) √2𝐸 (C) 𝐸 (D) 𝐸 ⁄2

Q.53 A lossless microstrip transmission line consists of a trace of width 𝑤. It is drawn over a practically
infinite ground plane and is separated by a dielectric slab of thickness 𝑡 and relative permittivity
𝜀𝑟 > 1. The inductance per unit length and the characteristic impedance of this line are 𝐿 and 𝑍0 ,
respectively.

Which one of the following inequalities is always satisfied?

𝐿𝑡 𝐿𝑡
(A) 𝑍0 > �𝜀 (B) 𝑍0 < �𝜀
0 𝜀𝑟 𝑤 0 𝜀𝑟 𝑤

𝐿𝑤 𝐿𝑤
(C) 𝑍0 > �𝜀 (D) 𝑍0 < �𝜀
0 𝜀𝑟 𝑡 0 𝜀𝑟 𝑡

EC (2) 13/14
GATE 2016 Electronics and Communication Engineering (Set 2)
Q.54 A microwave circuit consisting of lossless transmission lines T1 and T2 is shown in the figure.
The plot shows the magnitude of the input reflection coefficient Γ as a function of frequency 𝑓.
The phase velocity of the signal in the transmission lines is 2 × 108 m/s.

The length 𝐿 (in meters) of T2 is ________

Q.55 A positive charge 𝑞 is placed at 𝑥 = 0 between two infinite metal plates placed at 𝑥 = −𝑑 and at
𝑥 = +𝑑 respectively. The metal plates lie in the 𝑦𝑧 plane.

The charge is at rest at 𝑡 = 0, when a voltage +𝑉 is applied to the plate at – 𝑑 and voltage −𝑉 is
applied to the plate at 𝑥 = +𝑑. Assume that the quantity of the charge 𝑞 is small enough that it does
not perturb the field set up by the metal plates. The time that the charge 𝑞 takes to reach the right
plate is proportional to
(A) 𝑑 / 𝑉 (B) √𝑑 / 𝑉 (C) 𝑑 / √𝑉 (D) �𝑑/𝑉

END OF THE QUESTION PAPER

EC (2) 14/14
GATE 2016 General Aptitude - GA Set-4

Q. 1 – Q. 5 carry one mark each.


Q.1 An apple costs Rs. 10. An onion costs Rs. 8.

Select the most suitable sentence with respect to grammar and usage.
(A) The price of an apple is greater than an onion.

(B) The price of an apple is more than onion.

(C) The price of an apple is greater than that of an onion.

(D) Apples are more costlier than onions.

Q.2 The Buddha said, “Holding on to anger is like grasping a hot coal with the intent of throwing it at
someone else; you are the one who gets burnt.”

Select the word below which is closest in meaning to the word underlined above.

(A) burning (B) igniting (C) clutching (D) flinging

Q.3 M has a son Q and a daughter R. He has no other children. E is the mother of P and daughter-in-
law of M. How is P related to M?

(A) P is the son-in-law of M. (B) P is the grandchild of M.

(C) P is the daughter-in law of M. (D) P is the grandfather of M.

Q.4 The number that least fits this set: (324, 441, 97 and 64) is ________.

(A) 324 (B) 441 (C) 97 (D) 64

Q.5 It takes 10 s and 15 s, respectively, for two trains travelling at different constant speeds to
completely pass a telegraph post. The length of the first train is 120 m and that of the second train is
150 m. The magnitude of the difference in the speeds of the two trains (in m/s) is ____________.

(A) 2.0 (B) 10.0 (C) 12.0 (D) 22.0

1/3
GATE 2016 General Ap
ptitude - GA Set-44

Q. 6 – Q. 10 carry tw
wo marks each.
Q.6 Thee velocity V of a vehiclle along a sttraight line is
i measured in m/s and plotted as shown
s with
resppect to timee in seconds. At the endd of the 7 seconds,
s howw much willl the odomeeter reading
incrrease by (in m)?

(A)) 0 (B) 3 (C) 4 (D) 5

Q.7 Thee overwhelm ming numberr of people innfected with h rabies in Inndia has beenn flagged by
y the World
Heaalth Organizzation as a soource of conncern. It is esstimated thatt inoculatingg 70% of petts and stray
doggs against rabbies can leadd to a significcant reductio
on in the num
mber of peoplle infected with
w rabies.

Whhich of the foollowing can be logicallyy inferred from


m the above sentences?
(A)) The numbber of people in India infeected with raabies is high.

(B)) The number of people in other partts of the world who are innfected with rabies is low
w.

(C)) Rabies cann be eradicated in India by


b vaccinatin
ng 70% of strray dogs.

(D)) Stray dogss are the main source of rabies


r worldw
wide.

Q.8 f is shared by four firstt year underggraduate stud


A flat dents. They agreed
a to alloow the oldestt of them to
enjoy some exttra space in the flat. Maanu is two months
m olderr than Sravann, who is thhree months
youunger than Trrideep. Pavaan is one monnth older than
n Sravan. Who
W should occcupy the ex xtra space in
the flat?

(A)) Manu (B) Sravan (C) Trideep (D) Pavan

Q.9 Finnd the area boounded by thhe lines 3x+22y=14, 2x-3y


y=5 in the firrst quadrant.
(A)) 14.95 (B) 15.25 (C) 15.70 (D) 20.35

2/33
GATE 2016 General Aptitude - GA Set-4

Q.10 A straight line is fit to a data set (ln x, y). This line intercepts the abscissa at ln x = 0.1 and has a
slope of −0.02. What is the value of y at x = 5 from the fit?

(A) −0.030 (B) −0.014 (C) 0.014 (D) 0.030

END OF THE QUESTION PAPER

3/3
GATE 2016 Electronics and Communication Engineering (Set 3)

Q. 1 – Q. 25 carry one mark each.


Q.1 Consider a 2 × 2 square matrix
σ x 
A= ,
ω σ 
where x is unknown. If the eigenvalues of the matrix A are (σ + jω ) and (σ − jω ) , then x is
equal to

(A) + jω (B) − jω (C) +ω (D) −ω

Q.2 sin ( z )
For f ( z ) = , the residue of the pole at z = 0 is __________
z2

Q.3 The probability of getting a “head” in a single toss of a biased coin is 0.3. The coin is tossed
repeatedly till a “head” is obtained. If the tosses are independent, then the probability of getting
“head” for the first time in the fifth toss is __________

Q.4 1
dx
The integral ∫
0 (1 − x)
is equal to __________

Q.5 Consider the first order initial value problem

y' = y + 2x − x2, y(0) = 1, (0 ≤ x < ∞)

with exact solution y(x) = x2 + ex. For x = 0.1, the percentage difference between the exact solution
and the solution obtained using a single iteration of the second-order Runge-Kutta method with
step-size h = 0.1is __________

Q.6 Consider the signal 𝑥(𝑡) = cos(6𝜋𝑡) + sin(8𝜋𝑡), where 𝑡 is in seconds. The Nyquist sampling
rate (in samples/second) for the signal 𝑦(𝑡) = 𝑥(2𝑡 + 5) is

(A) 8 (B) 12 (C) 16 (D) 32

sin(𝑡) sin(𝑡)
Q.7 If the signal 𝑥(𝑡) = ∗ with ∗ denoting the convolution operation, then x(t) is equal to
𝜋𝑡 𝜋𝑡

sin(𝑡) sin(2𝑡) 2 sin(𝑡) sin(𝑡) 2


(A)
𝜋𝑡
(B)
2𝜋𝑡
(C)
𝜋𝑡 (D) � �
𝜋𝑡

EC (3) 1/19
GATE 2016 Electronics and Communication Engineering (Set 3)

Q.8 A discrete-time signal 𝑥[𝑛] = 𝛿[𝑛 − 3] + 2 𝛿[𝑛 − 5] has z-transform X(z). If 𝑌(𝑧) = 𝑋(−𝑧) is the
z-transform of another signal y[n], then

(A) 𝑦[𝑛] = 𝑥[𝑛] (B) 𝑦[𝑛] = 𝑥[−𝑛]


(C) 𝑦[𝑛] = −𝑥[𝑛] (D) 𝑦[𝑛] = −𝑥[−𝑛]

Q.9 In the RLC circuit shown in the figure, the input voltage is given by

𝑣𝑖 (𝑡) = 2 cos(200𝑡) + 4 sin(500𝑡).


The output voltage 𝑣𝑜 (𝑡) is

0.25 H 100 µF

+ +
2Ω
0.4 H 10 µF

vi(t) vo(t)
2Ω

- -

(A) cos(200𝑡) + 2 sin(500𝑡) (B) 2cos(200𝑡) + 4 sin(500𝑡)


(C) sin(200𝑡) + 2 cos(500𝑡) (D) 2sin(200𝑡) + 4 cos(500𝑡)

Q.10 The I-V characteristics of three types of diodes at the room temperature, made of semiconductors
X, Y and Z, are shown in the figure. Assume that the diodes are uniformly doped and identical in
all respects except their materials. If EgX, EgY and EgZ are the band gaps of X, Y and Z, respectively,
then

X Y Z

(A) EgX > EgY > EgZ (B) EgX = EgY = EgZ
(C) EgX < EgY < EgZ (D) no relationship among these band gaps exists.

EC (3) 2/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.11 The figure shows the band diagram of a Metal Oxide Semiconductor (MOS). The surface region of
this MOS is in

SiO2
EM
ΦB EC
EFS
ΦB
Ei

EV

(A) inversion (B) accumulation (C) depletion (D) flat band

Q.12 The figure shows the I-V characteristics of a solar cell illuminated uniformly with solar light of
power 100 mW/cm2. The solar cell has an area of 3 cm2 and a fill factor of 0.7. The maximum
efficiency (in %) of the device is __________

I
ISC = 180mA

(0, 0) V
VOC=0.5V

EC (3) 3/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.13 The diodes D1 and D2 in the figure are ideal and the capacitors are identical. The product RC is
very large compared to the time period of the ac voltage. Assuming that the diodes do not
breakdown in the reverse bias, the output voltage VO (in volt) at the steady state is __________

D1

C +
10sinωt
R Vo

ac -
C

D2

Q.14 Consider the circuit shown in the figure. Assuming VBE1 = VEB2 = 0.7 volt, the value of the dc
voltage VC2 (in volt) is __________

VCC = 2.5V

β1 =100
Q2
Q1
β2 = 50
10 kΩ
VC2
1V
1 kΩ

EC (3) 4/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.15 In the astable multivibrator circuit shown in the figure, the frequency of oscillation (in kHz) at the
output pin 3 is __________

Vcc

RA = 2.2 kΩ 8 4
Vcc Res
7
Disch

RB = 4.7 kΩ
555 Timer
6 3
Thresh Out

2
Trig

Gnd
C = 0.022 µF 1

Q.16 In an 8085 microprocessor, the contents of the accumulator and the carry flag are A7 (in hex) and 0,
respectively. If the instruction RLC is executed, then the contents of the accumulator (in hex) and
the carry flag, respectively, will be

(A) 4E and 0 (B) 4E and 1 (C) 4F and 0 (D) 4F and 1

Q.17 The logic functionality realized by the circuit shown below is

(A) OR (B) XOR (C) NAND (D) AND

Q.18 The minimum number of 2-input NAND gates required to implement a 2-input XOR gate is

(A) 4 (B) 5 (C) 6 (D) 7

EC (3) 5/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.19 The block diagram of a feedback control system is shown in the figure. The overall closed-loop
gain G of the system is

+ +
X G2 G1 Y
− −
H1

G1G2 G1G2
(A) G = (B) G =
1 + G1 H1 1 + G1G2 + G1 H1
G1G2 G1G2
(C) G = (D) G =
1 + G1G2 H1 1 + G1G2 + G1G2 H1

Q.20 For the unity feedback control system shown in the figure, the open-loop transfer function G(s) is
given as
2
G (s) = .
s ( s + 1)

The steady state error ess due to a unit step input is

+ e(t)
x(t) G(s) y(t)

(A) 0 (B) 0.5 (C) 1.0 (D) ∞

Q.21 For a superheterodyne receiver, the intermediate frequency is 15 MHz and the local oscillator
frequency is 3.5 GHz. If the frequency of the received signal is greater than the local oscillator
frequency, then the image frequency (in MHz) is __________

Q.22 An analog baseband signal, bandlimited to 100 Hz, is sampled at the Nyquist rate. The samples are
quantized into four message symbols that occur independently with probabilities p1 = p4 = 0.125
and p2 = p3. The information rate (bits/sec) of the message source is __________

EC (3) 6/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.23 A binary baseband digital communication system employs the signal

 1
 , 0 ≤ t ≤ TS
p ( t ) =  TS
0,
 otherwise

for transmission of bits. The graphical representation of the matched filter output y(t) for this signal
will be

(A) (B)
y(t) y(t)

1/Ts 0.5

t t
0 Ts 2Ts 0 Ts 2Ts
(C) (D)
y(t) y(t)

1 1

t t
0 Ts 2Ts 0 Ts/2 Ts

Q.24 If a right-handed circularly polarized wave is incident normally on a plane perfect conductor, then
the reflected wave will be

(A) right-handed circularly polarized


(B) left-handed circularly polarized
(C) elliptically polarized with a tilt angle of 450
(D) horizontally polarized

Q.25 Faraday’s law of electromagnetic induction is mathematically described by which one of the
following equations?
 
(A) ∇ • B = 0 (B) ∇ • D = ρV
 
 ∂B   ∂D
(C) ∇ × E = − (D) ∇ × H = σE +
∂t ∂t

EC (3) 7/19
GATE 2016 Electronics and Communication Engineering (Set 3)

Q. 26 – Q. 55 carry two marks each.

Q.26 The particular solution of the initial value problem given below is

d2y dy dy
2
+ 12 + 36 y =
0 with y (0) =
3 and =
−36
dx dx dx x =0

(A) (3 – 18x) e−6x (B) (3 + 25x) e−6x


(C) (3 + 20x) e−6x (D) (3 − 12x) e−6x

Q.27 If the vectors e1 = (1, 0, 2), e2 = (0, 1, 0) and e3 = (−2, 0, 1) form an orthogonal basis of the three-
dimensional real space ℝ3 , then the vector u = (4, 3,−3) ∈ ℝ3 can be expressed as

2 11 2 11
(A) u = − e1 − 3e 2 − e3 (B) u = − e1 − 3e 2 + e3
5 5 5 5
2 11 2 11
(C) u = − e1 + 3e 2 + e3 (D) u = − e1 + 3e 2 − e3
5 5 5 5

Q.28 A triangle in the xy-plane is bounded by the straight lines 2x = 3y, y = 0 and x = 3. The volume
above the triangle and under the plane x + y + z = 6 is __________

Q.29 1 ez
2π j ∫c
The values of the integral dz along a closed contour c in anti-clockwise direction for
z−2

(i) the point z0 = 2 inside the contour c, and


(ii) the point z0 = 2 outside the contour c,

respectively, are

(A) (i) 2.72, (ii) 0 (B) (i) 7.39, (ii) 0

(C) (i) 0, (ii) 2.72 (D) (i) 0, (ii) 7.39

EC (3) 8/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.30 2𝜋
A signal 2 cos � 3 𝑡� − cos (𝜋𝑡) is the input to an LTI system with the transfer function

𝐻(𝑠) = 𝑒 𝑠 + 𝑒 −𝑠 .
If 𝐶𝑘 denotes the kth coefficient in the exponential Fourier series of the output signal, then 𝐶3 is
equal to

(A) 0 (B) 1 (C) 2 (D) 3

Q.31 The ROC (region of convergence) of the z-transform of a discrete-time signal is represented by the
=
shaded region in the z-plane. If the signal x[n] ( 2.0 ) n , −∞ < n < +∞ , then the ROC of its
z-transform is represented by

(A) (B)
Im Im

Unit circle z-plane Unit circle z-plane

×0.5 ×2 Re ×0.5 ×2 Re

(C) (D)
Im Im

Unit circle z-plane Unit circle z-plane

×0.5 ×2 Re ×0.5 ×2 Re

(ROC does not exist)

EC (3) 9/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.32 Assume that the circuit in the figure has reached the steady state before time 𝑡 = 0 when the 3 Ω
resistor suddenly burns out, resulting in an open circuit. The current 𝑖(𝑡) (in ampere) at 𝑡 = 0+ is
__________

3F 2Ω
1Ω

i(t) 2Ω
12 V

2F 3Ω

Q.33 In the figure shown, the current 𝑖 (in ampere) is __________

1A 5Ω
8V
1Ω

1Ω 1Ω
8V

i
1Ω

EC (3) 10/19
GATE 2016 Electronics and Communication Engineering (Set 3)

Q.34  z11 z12 


The z-parameter matrix   for the two-port network shown is
 z 21 z 22 

3Ω

input port output port

6Ω

2 − 2  2 2 9 − 3 9 3
(A)   (B)   (C)   (D)  
− 2 2  2 2 6 9  6 9

Q.35 A continuous-time speech signal xa(t) is sampled at a rate of 8 kHz and the samples are
subsequently grouped in blocks, each of size N. The DFT of each block is to be computed in real
time using the radix-2 decimation-in-frequency FFT algorithm. If the processor performs all
operations sequentially, and takes 20 µs for computing each complex multiplication (including
multiplications by 1 and −1) and the time required for addition/subtraction is negligible, then the
maximum value of N is __________

Q.36 The direct form structure of an FIR (finite impulse response) filter is shown in the figure.

Unit Unit
x[n]
Delay Delay

5 5


y[n]
+
The filter can be used to approximate a

(A) low-pass filter (B) high-pass filter


(C) band-pass filter (D) band-stop filter

EC (3) 11/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.37 The injected excess electron concentration profile in the base region of an npn BJT, biased in the
active region, is linear, as shown in the figure. If the area of the emitter-base junction is 0.001 cm2,
µn = 800 cm2/(V-s) in the base region and depletion layer widths are negligible, then the collector
current IC (in mA) at room temperature is __________

(Given: thermal voltage VT = 26 mV at room temperature, electronic charge q = 1.6 × 10−19 C)

IB

n p n
1014 cm-3
Excess electron
IE profile
IC

0
0.5µm

Q.38 Figures I and II show two MOS capacitors of unit area. The capacitor in Figure I has insulator
materials X (of thickness t1 = 1 nm and dielectric constant ε1 = 4) and Y (of thickness t2 = 3 nm and
dielectric constant ε2 = 20). The capacitor in Figure II has only insulator material X of thickness tEq.
If the capacitors are of equal capacitance, then the value of tEq (in nm) is __________

Metal Metal
t2 ε2
t1 ε1 ε1 tEq

SiSi SiSi

Figure I Figure II

EC (3) 12/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.39 The I-V characteristics of the zener diodes D1 and D2 are shown in Figure I. These diodes are used
in the circuit given in Figure II. If the supply voltage is varied from 0 to 100 V, then breakdown
occurs in

-80V
-70V D1
V

0-100V D2
D1
D2

Figure I Figure II

(A) D1 only (B) D2 only


(C) both D1and D2 (D) none of D1 and D2

Q.40 For the circuit shown in the figure, R1 = R2 = R3 = 1 Ω, L = 1 µH and C = 1 µF. If the input
( )
Vin = cos 106 t , then the overall voltage gain (Vout /Vin) of the circuit is __________

R1
R3
L

-
- Vout
+ R2
C
+
Vin

EC (3) 13/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.41 In the circuit shown in the figure, the channel length modulation of all transistors is non-zero
(λ ≠ 0). Also, all transistors operate in saturation and have negligible body effect. The ac small
signal voltage gain (Vo/Vin) of the circuit is

VDD

M3 M2

VG

vo

vin M1

(A) −𝑔𝑚1 (𝑟𝑜1 ||𝑟𝑜2 || 𝑟𝑜3 ) 1


(B) −𝑔𝑚1 (𝑟𝑜1 || || 𝑟𝑜3 )
𝑔𝑚3

1 1
(C) −𝑔𝑚1 �𝑟𝑜1 || �𝑔 ||𝑟𝑜2 � || 𝑟𝑜3 � (D) −𝑔𝑚1 (𝑟𝑜1 || �𝑔 ||𝑟𝑜3 � || 𝑟𝑜2 )
𝑚2 𝑚3

Q.42 In the circuit shown in the figure, transistor M1 is in saturation and has transconductance
gm = 0.01 siemens. Ignoring internal parasitic capacitances and assuming the channel length
modulation λ to be zero, the small signal input pole frequency (in kHz) is __________

VDD

1kΩ

vo

50pF
vin
M1
5kΩ

EC (3) 14/19
GATE 2016 Electronics and Communication Engineering (Set 3)

Q.43 Following is the K-map of a Boolean function of five variables P, Q, R, S and X. The minimum
sum-of-product (SOP) expression for the function is

PQ PQ
00 01 11 10 00 01 11 10
RS RS

00 0 0 0 0 00 0 1 1 0

01 1 0 0 1 01 0 0 0 0

11 1 0 0 1 11 0 0 0 0

10 0 0 0 0 10 0 1 1 0

X=0 X=1

(A) P Q S X + P Q S X + Q R S X + Q R S X

(B) Q S X + Q S X

(C) Q S X + Q S X

(D) Q S + Q S

Q.44 For the circuit shown in the figure, the delays of NOR gates, multiplexers and inverters are 2 ns,
1.5 ns and 1 ns, respectively. If all the inputs P, Q, R, S and T are applied at the same time instant,
the maximum propagation delay (in ns) of the circuit is __________

Q
0 0

R MUX MUX Y

S 1 1
S0 S0

EC (3) 15/19
GATE 2016 Electronics and Communication Engineering (Set 3)

Q.45 For the circuit shown in the figure, the delay of the bubbled NAND gate is 2 ns and that of the
counter is assumed to be zero.

Q0 (LSB)

3-bit Q1
Synchronous
Counter
Q2 (MSB)

Clk

RESET

If the clock (Clk) frequency is 1 GHz, then the counter behaves as a

(A) mod-5 counter (B) mod-6 counter (C) mod-7 counter (D) mod-8 counter

Q.46 The first two rows in the Routh table for the characteristic equation of a certain closed-loop control
system are given as

s3 1 (2K + 3)

s2 2K 4

The range of K for which the system is stable is

(A) −2.0 < K < 0.5 (B) 0 < K < 0.5 (C) 0 < K < ∞ (D) 0.5 < K < ∞

Q.47 A second-order linear time-invariant system is described by the following state equations

x1 (t ) + 2 x1 (t ) = 3u (t )
d
dt

x2 (t ) + x2 (t ) = u (t )
d
dt
where x1(t) and x2(t) are the two state variables and u(t) denotes the input. If the output c(t) = x1(t),
then the system is

(A) controllable but not observable


(B) observable but not controllable
(C) both controllable and observable
(D) neither controllable nor observable

EC (3) 16/19
GATE 2016 Electronics and Communication Engineering (Set 3)
Q.48 The forward-path transfer function and the feedback-path transfer function of a single loop negative
feedback control system are given as

K ( s + 2)
G ( s) = and H ( s ) = 1 ,
s 2 + 2s + 2

respectively. If the variable parameter K is real positive, then the location of the breakaway point on
the root locus diagram of the system is __________

Q.49 A wide sense stationary random process 𝑋(𝑡) passes through the LTI system shown in the figure. If
the autocorrelation function of 𝑋(𝑡) is 𝑅𝑋 (𝜏), then the autocorrelation function 𝑅𝑌 (𝜏) of the output
𝑌(𝑡) is equal to

X(t) Y(t)

Delay = T0

(A) 2𝑅𝑋 (𝜏) + 𝑅𝑋 (𝜏 − 𝑇0 ) + 𝑅𝑋 (𝜏 + 𝑇0 ) (B) 2𝑅𝑋 (𝜏) − 𝑅𝑋 (𝜏 − 𝑇0 ) − 𝑅𝑋 (𝜏 + 𝑇0 )

(C) 2𝑅𝑋 (𝜏) + 2𝑅𝑋 (𝜏 − 2𝑇0 ) (D) 2𝑅𝑋 (𝜏) − 2𝑅𝑋 (𝜏 − 2𝑇0 )

Q.50 A voice-grade AWGN (additive white Gaussian noise) telephone channel has a bandwidth of
η
4.0 kHz and two-sided noise power spectral density = 2.5 ×10 −5 Watt per Hz. If information at
2
the rate of 52 kbps is to be transmitted over this channel with arbitrarily small bit error rate, then the
minimum bit-energy Eb (in mJ/bit) necessary is __________

Q.51 The bit error probability of a memoryless binary symmetric channel is 10−5. If 105 bits are sent over
this channel, then the probability that not more than one bit will be in error is __________

Q.52 Consider an air-filled rectangular waveguide with dimensions a = 2.286 cm and b = 1.016 cm. At
10 GHz operating frequency, the value of the propagation constant (per meter) of the corresponding
propagating mode is __________

EC (3) 17/19
GATE 2016 Electronics and Communication Engineering (Set 3)

Q.53 Consider an air-filled rectangular waveguide with dimensions a = 2.286 cm and b = 1.016 cm. The
increasing order of the cut-off frequencies for different modes is

(A) TE01 < TE10 < TE11 < TE20 (B) TE20 < TE11 < TE10 < TE01

(C) TE10 < TE20 < TE01 < TE11 (D) TE10 < TE11 < TE20 < TE01

Q.54 A radar operating at 5 GHz uses a common antenna for transmission and reception. The antenna has
a gain of 150 and is aligned for maximum directional radiation and reception to a target 1 km away
having radar cross-section of 3 m2. If it transmits 100 kW, then the received power (in µW) is
__________

EC (3) 18/19
GATE 2016 Electronics and Communication Engineering (Set 3)

Q.55 Consider the charge profile shown in the figure. The resultant potential distribution is best
described by

(B)
(A)

(C) (D)

END OF THE QUESTION PAPER

EC (3) 19/19

Potrebbero piacerti anche