Sei sulla pagina 1di 8

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 58, NO.

4, APRIL 2011 1197

Effects of Device Structure and Back Biasing on HCI


and NBTI in Silicon-on-Thin-BOX (SOTB)
CMOSFET
Takashi Ishigaki, Member, IEEE, Ryuta Tsuchiya, Yusuke Morita, Nobuyuki Sugii, Member, IEEE, and
Shin’ichiro Kimura, Senior Member, IEEE

Abstract—The silicon-on-thin-buried-oxide (SOTB) CMOSFET


is one of the strong candidates for further scaling because of its
smallest Vth variation and back-bias controllability. This study
focuses on its reliability, i.e., the hot-carrier-injection (HCI) degra-
dation for the n-channel MOS and the negative-bias temperature
instability (NBTI) for p-channel MOS. A comparison of the SOTB
CMOSFET with a conventional bulk CMOSFET showed that the
SOTB structure, which has a low-dose channel without a halo
implant, produces higher reliability. The impact of the wide-range
back biasing in SOTB devices on reliability was determined, i.e.,
the back biasing changes the mechanism of the HCI degradation
but does not affect the NBTI degradation. Fig. 1. Schematic cross section of the SOTB MOSFET with the integrated
bulk MOSFET and a TEM image of the SOTB MOSFET.
Index Terms—Back bias, back gate, fully depleted silicon on in-
sulator (FD-SOI), hot-carrier injection (HCI), negative-bias tem-
perature instability (NBTI), reliability, thin buried oxide (BOX).
dopant fluctuation [3]–[5]. A value of the Pelgrom coefficient,
which is often used as an index of the Vth variation, is about half
I. I NTRODUCTION of that of the conventional bulk device of the same technology
generation [3]. The thin BOX and the impurity doping in the

T HE SCALING of conventional bulk CMOSFETs, which


has continued for a long time, is approaching its end.
In particular, increasing the channel dopant concentration to
substrate just beneath the thin BOX enable a multiple Vth
design [3]. This thin BOX and this doped region also enable
wide-range back-gate controllability, which optimizes both the
counter the short-channel effect (SCE) increases the variation in performance and the power after the device fabrication [6], [7].
the threshold voltage Vth . This is one of the main reasons why Results for CMOS devices with the same structure as the SOTB
the supply voltage Vdd could not be decreased as the scaling were reported by several groups [8]–[12]. Another advantage
law assumes. Unless Vdd is reduced, the power consumption of the SOTB structure is that bulk transistors for input–output
of large-scale integrations will significantly increase in both and analog circuits, operating at a voltage higher than the
operational and standby-leakage states [1]. maximum operating voltage of an FD-SOI device, can be easily
Recently, fully depleted silicon-on-insulator (FD-SOI) tran- integrated by simply removing both the thin SOI and BOX
sistors or fin-shaped FETs have attracted much attention as a layers [13].
promising technology to prolong the scaling of CMOSFETs. While some intrinsic problems concerning an FD-SOI struc-
These device structures have high immunity from the SCE even ture (such as low breakdown voltage) are well known [14],
with an intrinsic or low-dose channel. An FD-SOI CMOSFET the reliability of this structure is not fully understood. In
with an ultrathin buried oxide (BOX), called a “silicon-on- particular, in the SOTB structure, the electric-field strength in
thin-BOX” (SOTB) [2], as illustrated in Fig. 1, was previously the device is different from that in conventional thick-BOX
developed. Its ultrathin SOI and BOX layers make the transistor SOI devices because of the thin BOX and the efficacy of back
highly immune from the SCE, and its low-dose channel without biasing. In this paper, the hot-carrier injection (HCI) and the
a halo implant suppresses the Vth variation due to the random negative-bias temperature instability (NBTI) were investigated
as representative reliability issues for n- and p-channel MOSs
(NMOS and PMOS), respectively. Their characteristics were
Manuscript received October 31, 2010; revised December 1, 2010, compared with the ones of conventional bulk CMOSFETs. The
December 19, 2010 and January 13, 2011; accepted January 13, 2011. Date electric fields in the CMOS devices were simulated by using
of current version March 23, 2011. The review of this paper was arranged by
Editor J. S. Suehle. a 2-D device simulator. Moreover, the wide-range back biasing
The authors are with Central Research Laboratory, Hitachi Ltd., through the thin BOX is one special feature of the SOTB device.
Kokubunji-shi 185-8601, Japan (e-mail: takashi.ishigaki.ug@hitachi.com). The influences on both the HCI degradation and the NBTI
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org. degradation on the device performance were examined for the
Digital Object Identifier 10.1109/TED.2011.2107520 first time.
0018-9383/$26.00 © 2011 IEEE
1198 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 58, NO. 4, APRIL 2011

NMOSFET, as shown in Fig. 2. The gate length Lg and width


Wg used in this paper were 55 nm and 8 μm, respectively.
The device characteristics such as Vth , ON-current Ion , and
OFF-current Ioff at Vdd = 1.2 V of the NMOSFETs were
nearly identical. The SOTB NMOSFET achieved a driving
performance comparable with the bulk NMOSFET because the
raised S/D structure sufficiently lowered the S/D resistance
Rsd , namely, to less than 200 Ω · μm [6]. No self-heating
effect was observed because the BOX layer was very thin (i.e.,
10 nm) in contrast to that of conventional SOI devices (over
100 nm). No kink effect was observed either. This indicates a
fully depleted operation without the floating-body effect. The
drain breakdown voltage of the SOTB NMOSFET is about
1 V lower than that of the bulk NMOSFET. In FD-SOI de-
vices, holes, which are generated by impact ionization at the
drain edge, flow to the source. This hole current biases the
Fig. 2. Comparison of Id –Vd characteristics between the SOTB and bulk source–body junction forward and amplifies the drain current
NMOSFETs. Id due to a parasitic bipolar effect [16]. When the drain voltage
Vd is over 2 V, the Id value of the SOTB NMOSFET starts to
II. D EVICE FABRICATION AND rapidly increase, which is independent of the gate voltage Vg .
E LECTRICAL C HARACTERIZATION It is thought that the impact ionization occurred because of the
The SOTB CMOSFETs were fabricated by using a standard potential difference between the source and the drain in short-
65-nm low-standby-power CMOS technology combined with channel devices, unlike longer channel devices, in which the
additional raised source/drain (S/D) and fully silicided (FUSI) breakdown voltage depends on the gate voltage. Note that the
metal-gate processes. The details of the fabrication process drop of the drain–source voltage Vds due to the large current
are described elsewhere [3], [13]. The thicknesses of the thin (e.g., Id = 1000 μA/μm) through Rsd (200 Ω · μm) is small
SOI layer tSOI and the thin BOX layer tBOX were about enough (0.2 V) to be ignored.
12 and 10 nm, respectively. Similar to the conventional bulk
CMOS process, impurity implantations for both a well and a B. HCI in the SOTB NMOSFET
channel were done in the SOTB CMOS process. The channel
implant was however done for a substrate beneath the BOX In conventional bulk MOSFETs, the largest degradation due
with a deeper projection range, not for the SOI channel layer. to the HCI was used to occur at Vg = Vd /2, which corresponds
Hence, the SOI layer was very lightly doped (i.e., density lower to the maximum substrate current Isub . For recent short-channel
than 1017 cm−3 ) without using a halo implant. In contrast, devices, however, the worst case of the HCI has been reported
the substrate just beneath the BOX layer was doped to about to change to the channel-hot-electron (CHE) condition at Vg =
1018 cm−3 to adjust Vth (in case where Vth was about 0.4 V). Vd . On the other hand, since the hole current due to the impact
Conventional bulk CMOSFETs, which have a polycrystalline- ionization flows to the source in SOI MOSFETs, it cannot be
silicon (poly-Si) gate and a doped channel with a halo implant, evaluated by monitoring Isub . We confirmed that the worst case
were also fabricated for comparison. The gate dielectrics in all degradation of the HCI is at Vg = Vd in both the SOTB and
devices were SiON with a 1.9-nm equivalent oxide thickness. bulk NMOSFETs, as shown in Fig. 3. The time dependence of
Since the universal mobility was obtained [15], the Si/SiO2 the threshold voltage shift ΔVth is represented by the power
interface could be considered the same quality. law as
As for the stress-effect measurements, the HCI was eval-
uated at room temperature, and the NBTI was evaluated at ΔVth = Atn . (1)
125 ◦ C. The drain-current–gate-voltage (Id –Vg ) characteristics
were measured at intervals of a certain stress time without a Here, n is the gradient of the straight line in Fig. 3. The
recovery operation. Vth values were determined by saturation value of around 0.5 at the CHE condition (Vg = Vd ) indicate
extrapolation. The drain voltage Vd for the saturation condition that the degradation is caused by the interface-trap generation
was 1.2 V. The criteria for the lifetime of the SOTB CMOSFETs [17]. It was reported that the hot-electron injection by the CHE
was set to 10% degradation from the initial states of the ON- generates the interface trap and causes less electron trapping in
current Ion or Vth at Vd = 1.2 V. the case of a thin gate oxide [18]. As for the results plotted in
Fig. 3, the power-law slopes are all around 0.5 and independent
of Vg . Hence, the mechanism of the interface-trap generation
III. R ESULTS AND D ISCUSSION is the same within the Vg range in the figure, and the dominant
factor is presumed to be the potential gradient between the drain
A. Current–Voltage Characteristics of the SOTB CMOSFET
and the source in both the SOTB and bulk devices. The amount
First, the current–voltage characteristic of the SOTB of the degradation depends on the total drain current modulated
NMOSFET was compared with that of a conventional bulk by Vg .
ISHIGAKI et al.: EFFECTS OF DEVICE STRUCTURE AND BACK BIASING ON HCI AND NBTI 1199

Fig. 3. Threshold-voltage shift ΔVth with dependence on the gate bias Vg under the HCI stress in the (a) SOTB and (b) bulk NMOSFETs.

Fig. 4. Stress bias Vstress dependence of the HCI degradation in the SOTB
device. Fig. 5. Comparison of the HCI lifetime between the SOTB and bulk
NMOSFETs for Vd = Vg .

Fig. 4 shows the dependence of the stress bias Vstress of the channel and the drain. This is supposed to be the reason why
HCI degradation at Vg = Vd . The power-law slopes are also the dependence on Vstress is different between the SOTB and
around 0.5 and independent of Vstress . Hence, the interface- bulk devices. The advantage of having no halo implant was also
trap-generation mechanism is thought to be the same even in experimentally confirmed in the SOTB device [19].
the case of Vstress over 2 V, in which the parasitic bipolar effect
occurs. The HCI lifetimes, defined as the 10% degradation of
C. Effect of Back Biasing in the Case of the HCI
Ion , under the CHE condition are shown in Fig. 5. The SOTB
lifetime dependence on Vstress produces a longer lifetime at A technique for applying the substrate bias Vb adaptively
the operating voltage of 1.2 V than that of the bulk device. according to the state of operation has been applied in late
To clarify the reason for this longer lifetime, the electric-field years [20]. However, with regard to the present scaled bulk
strengths in the devices with and without a halo implant were CMOSFETs, it is becoming difficult to apply Vb because of
simulated by using a 2-D device simulator. The lateral electric- the increase in the p-n junction leakage current between the
field strength Ex of the silicon surface along the channel S/D and the substrate. In SOTB devices, the wide-range back
(x-direction) at Vstress = 1.2 and 2.4 V are shown in Fig. 6(a). biasing including a forward bias higher than 0.6 V, which can
The profiles of net doping in the SOTB structure with and never be applied in a bulk device, is possible without any
without a halo implant are also shown in Fig. 6(b). Ex at the leakage due to the BOX layer. It significantly changes not only
drain edge of the devices with and without a halo implant are the drive currents but also the strength of the vertical electric-
almost the same at Vstress = 2.4 V due to the high drain voltage. field strength Ey .
Meanwhile, that of the device without a halo implant becomes Fig. 7 shows the dependences of the HCI characteristics on
about 10% lower than that of the devices with a halo implant Vb at Vstress = 2.4 V. The slope of the power law changes
at 1.2 V, which is due to the abrupt p-n junction between the from 0.4 at forward Vb to over 1.0 at reverse Vb . A slope of
1200 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 58, NO. 4, APRIL 2011

Fig. 6. (a) Simulated lateral electric-field strengths Ex along the channel surface in SOTB structures with or without a halo implant at (dashed) Vstress = 2.4
and (solid) 1.2 V. (b) Net doping profiles along the channel surface in the SOTB structures with and without a halo implant.

the other hand, the worst condition is the forward back biasing
because a large number of hot electrons was generated by the
large drain current (37% larger than that at Vb = 0 V), although
Ey decreases under the forward back biasing. In Fig. 7, the
large drain current is a main factor for the amount of the
HCI degradation. Meanwhile, Ey is considered to influence a
mechanism of the degradation. To evaluate the lifetime of this
forward-bias state, condition Vb = Vstress was used. Even under
this forward back-biasing condition, the lifetime is sufficiently
longer than ten years, as shown in Fig. 9.

D. NBTI in the SOTB PMOSFET


The NBTI has not been extensively investigated in
FD-SOI devices, although it is recognized as a major reliability
issue in recent bulk PMOSFETs. We first compared the gate-
Fig. 7. Dependence of HCI characteristics on the back bias Vb at leakage currents of the SOTB PMOSFET with that of the bulk
Vstress = 2.4 V. PMOSFET, as shown in Fig. 10. In the FUSI-gate SOTB
PMOSFET (a low-dose channel without a halo implant) and
1.0 at Vg = Vd was reported in [18]. In that study, electron the poly-Si-gate bulk PMOSFET (a high-dose channel with
trapping sites were produced by a highly energetic hot-electron a halo implant), the Ig –Vg characteristics are almost the
injection into the oxide. This phenomenon is different from same. The Ig –Vg characteristic of the poly-Si-gate SOTB
the electron trapping before stressing (where a power-law slope PMOSFET (a low-dose channel with a halo implant) is also
of 0.2 is typical). When the reverse back biasing is applied in the same, although Vth is different. Hence, the gate di-
an SOTB device, the highly energetic hot electrons due to the electrics of all devices are considered to be a like quality.
high drain bias would be injected into the gate oxide by the Then, the NBTI characteristics of the poly-Si-gate SOTB
enhanced Ey and would generate trapping sites, particularly at PMOSFET and the bulk PMOSFET were compared, as shown
the drain edge. As a result, the electron trapping is thought in Fig. 11. The characteristic of the SOTB PMOSFET with
to be the dominant mechanism in the reverse back biasing. a halo implant was also plotted. The gate bias Vg is set to
The simulated Ey at the silicon surface along the channel is be the same stress for each device as Vg − Vth = −2.0 V at
shown in Fig. 8. Although the electrostatic potential φ of the 125 ◦ C. The same power-law slopes suggest the same degrada-
silicon surface becomes higher toward the drain, the drain side tion mechanism in the SOTB device as that in the bulk device.
of the channel (beyond the pinchoff point) is depleted by a high The n value of around 0.3 presumably indicates the well-known
drain bias. In the depleted region, φ rapidly changes into the reaction/diffusion model, which is the interaction of holes with
vertical y-direction, depending on the φ value of the substrate hydrogen that breaks Si–H bonds at the Si/oxide interface and
beneath the BOX layer. Hence, Ey = −dφ/dy increases only the hydrogen diffusion away from the interface into the gate
at the drain edge in the reverse back biasing. It is noted that the oxide, generating interface traps [21]. Although the typical n
lateral electric field Ex does not depend on Vb (not shown). On value was 0.25 in this theory, a value over 0.3 was reported
ISHIGAKI et al.: EFFECTS OF DEVICE STRUCTURE AND BACK BIASING ON HCI AND NBTI 1201

Fig. 8. Simulated vertical electric-field strength Ey along the channel surface at forward, reverse, and no back biasing under the HCI stress.

Fig. 9. HCI lifetime of the SOTB NMOSFET under the forward back biasing
(i.e., worst case degradation). Fig. 11. Comparison between NBTI characteristics of the SOTB and bulk
PMOSFETs.

is severer than that without a halo implant. The degradation


in the bulk device with a halo implant at 30 keV is further
enhanced. These results indicate that the NBTI degradation is
enhanced due to additional exposed Si–H bonds caused by the
halo and/or a high-energy implant [24]. The NBTI lifetime for
the SOTB PMOSFET is estimated to greatly exceed the ten-
year specification, as shown in Fig. 12.

E. Effect of Back Biasing in the Case of NBTI


The effect of the back biasing Vb on the NBTI degradation in
the FUSI-gate SOTB PMOSFET, as shown in Fig. 13, was also
investigated. In all three cases, there is a little influence of Vb on
the NBTI degradation in both forward and reverse back biasing.
Fig. 10. Id , Ig –Vg characteristics of the poly-Si-gate bulk (a high-dose
channel with halo), poly-Si-gate SOTB (a low-dose channel with halo), and
This result is presumably due to the fact that the influence of
FUSI-gate SOTB (a low-dose channel without halo) PMOSFETs. back biasing on the electric fields at the channel surface and/or
in the gate oxide is mostly screened by an inversion layer.
in the case of nitrided gate oxide, in which the introduction of The simulated vertical electric field Ey and hole concentration
nitrogen creates a number of oxide traps [22], [23]. The NBTI under the gate electrode as a function of the back-bias voltage
degradation of the SOTB device with a halo implant (at 15 keV) Vb are shown in Fig. 14. Ey in the gate oxide is determined by
1202 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 58, NO. 4, APRIL 2011

Fig. 14. Simulated vertical electric-field strength Ey and hole concentration


under the gate as a function of the back-bias Vb under the NBTI stress.

trap generation changes to electron trapping under the reverse


back biasing because of the enhanced vertical electric field
at the drain edge, but the worst case HCI degradation occurs
Fig. 12. NBTI lifetime of the SOTB PMOSFET. in the forward back biasing because of the increased drive
current. The NBTI degradation in the SOTB PMOSFET can
be explained by the conventional reaction/diffusion model. The
long NBTI lifetime has been confirmed because of the low
surface Ey and of having no halo implant. In addition, it has
been demonstrated that the back biasing has a small impact on
the NBTI degradation because of the SOTB structure. These
results indicate the superior features of the SOTB technology
in terms of reliability, even under a high level of performance
boosting (i.e., back biasing).

ACKNOWLEDGMENT
The authors would like to thank the staff at Renesas Elec-
tronics Corporation (Renesas) and Hitachi Central Research
Laboratory (HCRL) for fabricating the devices. The authors
would also like to thank T. Iwamatsu, H. Oda, and Y. Inoue
of Renesas for their assistance with the device fabrication, and
Fig. 13. Dependence of NBTI characteristics on the back bias Vb . K. Torii of HCRL and M. Odaka and K. Kasai of the Hitachi
Research and Development Group for their encouragement.
the gate bias (not by the back biasing). It is clear that the hole
concentration at the silicon surface is slightly influenced by the R EFERENCES
back biasing. In addition, the substrate hot-hole injection under [1] T. Sakurai, “Perspectives of low-power VLSIs,” IEICE Trans. Electron.,
the reverse back biasing, which enhances the NBTI degradation vol. E87-C, no. 4, pp. 429–436, Apr. 2004.
[2] R. Tsuchiya, M. Horiuchi, S. Kimura, M. Yamaoka, T. Kawahara,
in the conventional bulk PMOS [25], does not occur in the S. Maegawa, T. Ipposhi, Y. Ohji, and H. Matsuoka, “Silicon on thin BOX:
SOTB structure because of the BOX layer. It is also noted that A new paradigm of the CMOSFET for low-power and high-performance
vertical electric field Ey at the Si surface must be lower in application featuring wide-range back-bias control,” in IEDM Tech. Dig.,
Dec. 2004, pp. 631–634.
SOTB devices with a midgap gate metal and a low-dose channel [3] Y. Morita, R. Tsuchiya, T. Ishigaki, N. Sugii, T. Iwamatsu, T. Ipposhi,
than that in bulk devices with a poly-Si gate and a high-dose H. Oda, Y. Inoue, K. Torii, and S. Kimura, “Smallest Vth variability
channel. achieved by intrinsic silicon on thin BOX (SOTB) CMOS with single
metal gate,” in VLSI Symp. Tech. Dig., Jun. 2008, pp. 166–167.
[4] N. Sugii, R. Tsuchiya, T. Ishigaki, Y. Morita, H. Yoshimoto, and
IV. C ONCLUSION S. Kimura, “Local Vth variability and scalability in silicon-on-thin-BOX
(SOTB) CMOS with small random-dopant fluctuation,” IEEE Trans.
The effects of the device structure and wide-range back Electron Devices, vol. 57, no. 4, pp. 835–845, Apr. 2010.
[5] R. Tsuchiya, N. Sugii, T. Ishigaki, Y. Morita, H. Yoshimoto, K. Torii, and
biasing on the HCI and the NBTI in SOTB CMOSFETs have S. Kimura, “Low voltage (Vdd ∼ 0.6 V) SRAM operation achieved by
been studied. It has been shown that the HCI degradation in a reduced threshold voltage variability in SOTB (silicon on thin BOX),” in
NMOSFET is mainly caused by the CHE injection generating VLSI Symp. Tech. Dig., Jun. 2009, pp. 150–151.
[6] R. Tsuchiya, T. Ishigaki, Y. Morita, M. Yamaoka, T. Iwamatsu, T. Ipposhi,
interface traps, which is the same mechanism as that in a H. Oda, N. Sugii, S. Kimura, K. Itoh, and Y. Inoue, “Controllable in-
conventional bulk device. However, the lifetime of a SOTB verter delay and suppressing Vth fluctuation technology in silicon on thin
device is longer than that of a bulk one because of a suppressed BOX featuring dual back-gate bias architecture,” in IEDM Tech. Dig.,
Dec. 2007, pp. 475–478.
lateral electric field at the drain edge (due to having no halo [7] T. Ishigaki, R. Tsuchiya, Y. Morita, H. Yoshimoto, N. Sugii, T. Iwamatsu,
implant). It has been found that the mechanism of the interface- H. Oda, Y. Inoue, T. Ohtou, T. Hiramoto, and S. Kimura, “Silicon on thin
ISHIGAKI et al.: EFFECTS OF DEVICE STRUCTURE AND BACK BIASING ON HCI AND NBTI 1203

BOX (SOTB) CMOS for ultralow standby power with forward-biasing [23] S. T. Liu, D. E. Ioannou, D. P. Ioannou, M. Flanery, and H. L. Hughes,
performance booster,” Solid-State Electron., vol. 53, no. 7, pp. 717–722, “NBTI in SOI p-channel MOS field effect transistors,” in Proc. Integr.
Apr. 2009. Rel. Workshop Final Rep., Oct. 2005, pp. 17–21.
[8] M. Fujiwara, T. Morooka, N. Yasutake, K. Ohuchi, N. Aoki, H. Tanimoto, [24] D. Brisbin, J. Yang, S. Bahl, and C. Parker, “Enhanced PMOS NBTI
M. Kondo, K. Miyano, S. Inaba, K. Ishimaru, and H. Ishiuchi, “Impact of degradation due to halo implant channeling,” in Proc. Int. Rel. Phys.
BOX scaling on 30 nm gate length FD SOI MOSFETs,” in Proc. IEEE Symp., May 2008, pp. 61–66.
Int. SOI Conf., Oct. 2005, pp. 180–182. [25] M. Togo, T. Fukai, Y. Nakahara, S. Koyama, M. Makabe, E. Hasegawa,
[9] H.-Y. Chen, C.-Y. Chang, C.-C. Huang, T.-X. Chung, S.-D. Liu, M. Nagase, T. Matsuda, K. Sakamoto, S. Fujiwara, Y. Goto, T. Yamamoto,
J.-R. Hwang, Y.-H. Liu, Y.-J. Chou, H.-J. Wu, K.-C. Shu, C.-K. Huang, T. Mogami, M. Ikeda, Y. Yamagata, and K. Imai, “Power-aware 65 nm
J.-W. You, J.-J. Shin, C.-K. Chen, C.-H. Lin, J.-W. Hsu, B.-C. Perng, node CMOS technology using variable Vdd and back-bias control with
P.-Y. Tsai, C.-C. Chen, J.-H. Shieh, H.-J. Tao, S.-C. Chen, T.-S. Gau, reliability consideration for back-bias mode,” in VLSI Symp. Tech. Dig.,
and F.-L. Yang, “Novel 20nm hybrid SOI/Bulk CMOS technology with Jun. 2004, pp. 88–89.
0.183 μm2 6T-SRAM cell by immersion lithography,” in VLSI Symp.
Tech. Dig., Jun. 2005, pp. 16–17.
[10] S. Monfray, M. P. Samson, D. Dutartre, T. Ernst, E. Rouchouze,
D. Renaud, B. Guillaumot, D. Chanemougame, G. Rabille, S. Borel,
J. P. Colonna, C. Arvet, N. Loubet, Y. Campidelli, J. M. Hartmann,
L. Vandroux, D. Bensahel, A. Toffoli, F. Allain, A. Margin, L. Clement,
A. Quiroga, S. Deleonibus, and T. Skotnicki, “Localized SOI technology:
An innovative low cost self-aligned process for Ultra Thin Si-film on
thin BOX integration for Low Power applications,” in IEDM Tech. Dig.,
Takashi Ishigaki (M’01) received the B.E. and M.E.
Dec. 2007, pp. 693–696.
[11] F. Andrieu, O. Weber, J. Mazurier, O. Thomas, J.-P. Noel, degrees in electrical and electronic engineering from
Kobe University, Kobe, Japan, in 1999 and 2001,
C. Fenouillet-Béranger, J.-P. Mazellier, P. Perreau, T. Poiroux,
respectively.
Y. Morand, T. Morel, S. Allegret, V. Loup, S. Barnola, F. Martin,
In 2001, he joined the Compound Semiconductor
J.-F. Damlencourt, I. Servin, M. Cassé, X. Garros, O. Rozeau,
M.-A. Jaud, G. Cibrario, J. Cluzel, A. Toffoli, F. Allain, R. Kies, Division, Nippon Electric Company Corporation,
Otsu, Japan, where he was involved in the research
D. Lafond, V. Delaye, C. Tabone, L. Tosti, L. Brévard, P. Gaud,
and development of GaAs heterojunction field-
V. Paruchuri, K. K. Bourdelle, W. Schwarzenbach, O. Bonnin,
effect transistors (FETs) and heterojunction bipolar
B.-Y. Nguyen, B. Doris, F. Boeuf, T. Skotnicki, and O. Faynot,
“Low leakage and low variability ultra-thin body and buried oxide transistors for microwave applications. Since 2004,
he has been with the Central Research Labora-
(UT2B) SOI technology for 20nm low power CMOS and beyond,” in
tory, Hitachi Ltd., Tokyo, Japan, working on the research and develop-
VLSI Symp. Tech. Dig., Jun. 2010, pp. 57–58.
ment of high-capacity Flash memories, silicon-on-insulator complementary
[12] M. Khater, J. Cai, R. H. Dennard, J. Yau, C. Wang, L. Shi, M. Guillorn,
J. Ott, Q. Ouyang, and W. Haensch, “FDSOI CMOS with dielectrically- metal–oxide–semiconductor FETs, spin-transferred magnetic memories, and
III–V power devices.
isolated back gates and 30nm LG high-k/metal gate,” in VLSI Symp. Tech.
Mr. Ishigaki is a member of the IEEE Electron Devices Society.
Dig., Jun. 2010, pp. 43–44.
[13] T. Ishigaki, R. Tsuchiya, Y. Morita, N. Sugii, S. Kimura, T. Iwamatsu,
T. Ipposhi, Y. Inoue, and T. Hiramoto, “Wide-range threshold volt-
age controllable silicon on thin buried oxide integrated with bulk com-
plementary metal oxide semiconductor featuring fully silicided NiSi
gate electrode,” Jpn. J. Appl. Phys., vol. 47, no. 4, pp. 2585–2588,
Apr. 2008.
[14] M. Yoshimi, M. Takahashi, T. Wada, K. Kato, S. Kambayashi,
M. Kemmochi, and K. Natori, “Analysis of the drain breakdown mech-
anism in ultra-thin-film SOI MOSFET’s,” IEEE Trans. Electron Devices, Ryuta Tsuchiya received the B.S., M.S., and Ph.D.
vol. 37, no. 9, pp. 2015–2021, Sep. 1990. degrees in material science from the Tokyo Institute
[15] H. Yoshimoto, N. Sugii, D. Hisamoto, S. Saito, R. Tsuchiya, and of Technology, Tokyo, Japan, in 1993, 1995, and
S. Kimura, “Extension of universal mobility curve to multi-gate 1998, respectively.
MOSFETs,” in IEDM Tech. Dig., Dec. 2007, pp. 703–706. Since 1998, he has been with the Central Re-
[16] J. Chen, F. Assaderaghi, H.-J. Wann, P. Ko, C. Hu, P. Cheng, and search Laboratory, Hitachi Ltd., Tokyo, where he
T.-Y. Chan, “An accurate model of thin film SOI MOSFET breakdown has been engaged in research on the fabrication and
voltage,” in IEDM Tech. Dig., Dec. 1991, pp. 671–674. characterization of high-performance and low-power
[17] P. Heremans, R. Bellens, G. Groeseneken, and H. E. Maes, “Consis- metal–oxide–semiconductor field-effect transistors,
tent model for the hot-carrier degradation in n-channel and p-channel including thin-film silicon-on-insulator and buried
MOSFETs,” IEEE Trans. Electron Devices, vol. 35, no. 12, pp. 2194– oxide transistors.
2209, Dec. 1988. Dr. Tsuchiya is a member of the Japan Society of Applied Physics.
[18] N. Koike and K. Tatsuuma, “A drain avalanche hot carrier lifetime model
for n- and p-channel MOSFETs,” IEEE Trans. Device Mater. Rel., vol. 4,
no. 3, pp. 457–466, Sep. 2004.
[19] T. Ishigaki, R. Tsuchiya, Y. Morita, H. Yoshimoto, N. Sugii, and
S. Kimura, “HCI and NBTI including the effect of back-biasing in thin-
BOX FD-SOI CMOSFETs,” in Proc. Int. Rel. Phys. Symp., May 2010,
pp. 1049–1052.
[20] M. Nakai, S. Akui, K. Seno, T. Meguro, T. Seki, T. Kondo,
A. Hashiguchi, H. Kawahara, K. Kumano, and M. Shimura, “Dynamic Yusuke Morita received the B.S. and M.S. degrees
voltage and frequency management for a low-power embedded micro- in material engineering from Shonan Institute of
processor,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 28–35, Technology, Fujisawa, Japan, in 1999 and 2001,
Jan. 2005. respectively, and the Ph.D. degree from Tokyo Insti-
[21] S. Mahapatra, P. B. Kumar, and M. A. Alam, “Investigation and modeling tute of Technology, Tokyo, Japan, in 2004.
of interface and bulk trap generation during negative bias temperature Since 2005, he has been with the Central Research
instability of p-MOSFETs,” IEEE Trans. Electron Devices, vol. 51, no. 9, Laboratory, Hitachi Ltd., Tokyo, where he has been
pp. 1371–1379, Sep. 2004. working on the research and development of comple-
[22] N. Kimizuka, K. Yamaguchi, K. Imai, T. Iizuka, C. T. Liu, R. C. Keller, mentary metal–oxide–semiconductor devices includ-
and T. Horiuchi, “NBTI enhancement by nitrogen incorporation into ul- ing silicon-on-insulator metal-oxide-semiconductor
trathin gate oxide for 0.10-μm gate CMOS generation,” in VLSI Symp. field-effect transistors.
Tech. Dig., Jun. 2000, pp. 92–93. Dr. Morita is a member of the Japan Society of Applied Physics.
1204 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 58, NO. 4, APRIL 2011

Nobuyuki Sugii (M’08) received the B.S., M.S., and Shin’ichiro Kimura (M’87–SM’07) was born in
Ph.D. degrees in applied chemistry from the Uni- Miyagi, Japan, in 1955. He received the B.S. and
versity of Tokyo, Tokyo, Japan, in 1986, 1988, and M.S. degrees in materials science from Tohoku Uni-
1995, respectively. versity, Sendai, Japan, in 1978 and 1980, respec-
In 1988, he joined the Central Research Labo- tively, and the Ph.D. degree from the University of
ratory, Hitachi Ltd., Tokyo, where he was engaged Tokyo, Tokyo, Japan, in 1989. The subject of his
in the research and development of oxide super- Ph.D. dissertation was low-temperature oxidation of
conducting materials and devices until 1996 and silicon by microwave oxygen plasma.
has been working on the research and develop- Since 1980, he has been with the Central Research
ment of SiGe materials and complementary metal– Laboratory, Hitachi Ltd., Tokyo, where he was first
oxide–semiconductor devices, including silicon-on- involved in the research of high-dielectric-constant
insulator and strained-silicon metal–oxide–semiconductor field-effect transis- insulators. He then worked on the plasma oxidation of silicon. From 1986
tors, since 1996. From 1991 to 1994, he was a Research Scientist with to 1988, he worked on the process design and device characterization for
the Superconductivity Research Laboratory, International Superconductivity metal–oxide–semiconductor (MOS) dynamic memory for 16 Mb. From 1988
Technology Center. Since 2004, he has been also a Visiting Professor with the to 1989, he was a Visiting Research Associate with the University of Warwick,
Tokyo Institute of Technology, Tokyo. Coventry, U.K. He is currently a Chief Senior Researcher with Central Research
Dr. Sugii is a member of the Japan Society of Applied Physics and the IEEE Laboratory. His current research interests include new submicrometer MOS
Electron Devices Society. He was a member of the program subcommittees of field-effect transistor devices and processes.
the Solid State Devices and Materials Conference from 2002 to 2004. Dr. Kimura is a member of the Japan Society of Applied Physics and the
IEEE Electron Devices Society (EDS). He has served as a member of the
Solid State Devices and Materials Conference from 1995 to 1998. He was as a
member of the steering committee in 2003, the Program Chair in 2005, and the
Conference Chair in 2007 of the Symposium on Very Large Scale Integration
Technology Symposia. From March 2002 to 2007, he was one of the Editors
of IEEE TRANSACTIONS ON ELECTRON DEVICES. He has also served as the
Vice Chair of the IEEE EDS Japan Chapter. Since 2010, he has been the Chair
of IEEE EDS Tokyo Chapter.

Potrebbero piacerti anche