Sei sulla pagina 1di 13

INFORME DE TRABAJO DE INVESTIGACIÓN

CIRCUITOS DIGITALES

DISEÑO DE LA ALU DE 4 BITS

Jefferson Nuñes, Sofía Liseña Intriago Laverde,


sofiaintriago@hotmail.com
Jeferson_daddy@hoymail.es

RESUMEN: Logic gates, switch, combinational circuit,


bits, operations.
En el presente trabajo se ha centrado en el
diseño por unidades de una ALU que se 1. INTRODUCCIÓN.
presentan en los sistemas digitales, donde
Este proyecto fue realizado para poner
realizaremos una implementación de algunos
en práctica lo aprendido durante el curso,
operadores aritméticos donde constara nuestro ya que antes de empezarlo se tenía un
Diseño una ALU de 4 bits, que consta de conocimiento casi nulo sobre los temas
Unidad aritmética. Sumador - restador de 4 vistos, como el funcionamiento de una
bits, Unidad lógica: Operaciones lógicas compuerta lógica, ya sea positiva o
AND, OR, NOT, XOR. Unidad de negativa. A su vez temas nuevos, como
comparación: A>B, A<B y A=B, Generador la utilización de un mapa de Karnaugh.
de funciones de 4 variables, donde dispone de Así mismo el proyecto es orientado a la
señales de Carry IN, Carry out, Desvorde, Bit implementación de las compuertas
de signo. lógicas, su comportamiento, etc. Puesto
que existen circuitos integrados ya
PALABRAS CLAVE: existentes que hacen la función de una
manera más fácil y sencilla, pero a su
Compuertas lógicas, switch, circuito vez desconocemos su funcionamiento
combinacional, bits, operaciones. interno, ese es el ¿por qué? de la
realización de este proyecto, ver, analizar
ABSTRACT. y comprender como funcionan
internamente dichos circuitos, como son
An arithmetic and logic unit (ALU) is a los multiplexores, sumadores, restadores,
combined circuit that develops logical and etc. A su vez se hizo un análisis de como
arithmetic micro operations of two n-bit el lenguaje binario es implementado en
operands A and B. The operations carried out las compuertas lógicas para un mejor
by the ALU are controlled by a group of entendimiento.
function selection inputs.

KEY WORDS: Objetivos generales


Según Floy (2007). Con el fin de hacer el
diseño más manejable se divide el problema
Diseñar una ALU que pueda contener los en módulos. En lugar de diseñar una ALU de
requerimientos pedidos con el 4 bits como un solo circuito, se implementará
conocimiento adquirido primero una ALU de 1 bit (bit-slice) para con
base en ella montar el circuito de 4 bits. Esta
unidad tendría 6 entradas y dos salidas, lo
cual la haría bastante tediosa para su diseño
Objetivos específicos. por tablas. Una alternativa está en separar esta
unidad de 1 bit en dos módulos: uno lógico y
Utilizar compuertas lógicas para cada una uno aritmético, y realizar el diseño de cada
de las operaciones, funciones y módulo en forma independiente. Ver figura
4.2.
generadores.

Utilizar conocimientos impartidos en el


curso para poder facilitar la construcción

Hipótesis

La ALU sistema que se encuentra en una Ilustración 1:Diagramas de bloque de la ALU


computadora ya que puede realizar
diferentes operaciones, funciones y
generan.

Alcance
Por consiguiente, deseamos elaborar y
demostrar cada uno de los elementos la
importancia que tiene en cada parte de la Ilustración 2: Esquema modular de la ALU
ALU.
Para el módulo aritmético estudie el
2. MARCO TEÓRICO. comportamiento de las entradas de un bit para
cada una de las operaciones. Puede utilizarse
un circuito Sumador completo (Full Adder).
La Unidad Aritmética y Lógica, llamada
abreviadamente ALU, es la encargada de Cuando se trabaja con complemento a 2´s
realizar en el computador las operaciones con para calcular A-B ó B-A, es posible que el bit
los datos, de acuerdo con el programa en de carry sea generado dando un resultado
curso. Se compone de una unidad capaz de erróneo. Por ejemplo, si A=1101 (13) y
ejecutar todo el surtido de instrucciones del B=0000 (0) , A-B=A+B´+1 = 1101 + 1111 +1
calculador o de varias unidades funcionales u = 1 1101, que es igual a 29 en lugar de 13.
operadores, cada uno especializado en la Esto es porque el bit de carry en 1101 debería
ejecución de una o varias clases de ser eliminado para dar: 1101. Se puede
operaciones. prevenir este error usando un circuito lógico
que suministrará un 0 siempre y cuando las
funciones A-B ó B-A han sido seleccionadas
por (S0,S1,M), y de otra manera suministrará
la salida de Carry Out Co del 4º bit de la
ALU.

2.2.3 Compuertas Lógicas


Compuerta AND
Ilustración 4: Tabla de la compuerta AND
Es una puerta lógica digital que implementa la
conjunción lógica -se comporta de acuerdo a Compuerta OR
la tabla de verdad mostrada a la derecha. Esta
tendrá una salida ALTA (1), únicamente La puerta OR o compuerta OR es una puerta
cuando los valores de ambas entradas sean lógica digital que implementa la disyunción
ALTOS. Si algunas de estas entradas no son lógica -se comporta de acuerdo a la tabla de
ALTAS, entonces tendrá un valor de salida verdad mostrada a la derecha. Cuando todas
BAJA (0). Desde el punto de vista funcional, sus entradas están en 0 (cero) o en BAJA, su
la puerta AND es un multiplicador pues su salida está en 0 o en BAJA, mientras que
salida es el producto de sus entradas.1 cuando al menos una o ambas entradas están
Adicionalmente, encuentra el mínimo entre en 1 o en ALTA, su SALIDA va a estar en 1 o
dos dígitos binarios, así como la puerta OR en ALTA. En otro sentido, la función de la
encuentra el máximo. La puerta AND puede compuerta OR efectivamente encuentra el
usarse como inhibidor. los datos que llegan a máximo entre dos dígitos binarios, así como
una de las entradas (A) se transmiten a la la función AND encuentra el mínimo.
salida (C) mientras la otra entrada (B) reciba
1 (VDD) si esta entrada es 0 (GND) la salida Se puede ver claramente que la salida X
en (C) es 0 independientemente de la señal en solamente es "0" (0 lógico, nivel bajo) cuando
(A). Para que el bit inhibidor (b) se active con la entrada A como la entrada B están en "0".
1 (VDD) en lugar de con 0, sería necesario En otras palabras, la salida X es igual a 0
añadir una puerta NOT en dicha entrada. cuando la entrada A y la entrada B son 0.

Ilustración 3: Estructura interna de la


compuerta AND
Ilustración 5: Estructura interna de la
compuerta OR

COMPUERTA NOT
En lógica digital, un inversor, puerta NOT o
compuerta NOT es una puerta lógica que
implementa la negación lógica . A la derecha
se muestra la tabla de verdad. Siempre que su
entrada está en 0 (cero) o en BAJA, su salida
está en 1 o en ALTA, mientras que cuando su
entrada está en 1 o en ALTA, su SALIDA va
a estar en 0 o en BAJA.

La función física del inversor es la de cambiar


en su salida el nivel del voltaje de su entrada
entre los definidos como lógico ALTO Y
lógico BAJO Ilustración 7: Estructura interna de la
compuerta MUX

Ilustración 8: Tabla de la compuerta MUX


Ilustración 6: Estructura interna de la
compuerta NOT COMPUERTA DEMULTIPLEXOR

MULTIPLEXOR En electrónica digital, un demultiplexor es un


circuito combinacional que tiene una entrada
Los multiplexores son circuitos de información de datos d y n entradas de
combinacional, es con varias entradas y una control que sirven para seleccionar una de las
única salida de datos. Están dotados de 2n salidas, por la que ha de salir el dato que
entradas de control capaces de seleccionar presente en la entrada. Esto se consigue
una, y sólo una, de las entradas de datos para aplicando a las entradas de control la
permitir su transmisión desde la entrada combinación binaria correspondiente a la
seleccionada hacia dicha salida. salida que se desea seleccionar. Por ejemplo,
si queremos que la información que tenemos
En el campo de la electrónica el multiplexor en la entrada d, salga por la salida S4, en la
se utiliza como dispositivo que puede recibir entrada de control se ha de poner, de acuerdo
varias entradas y transmitirlas por un medio con el peso de la misma, el valor 100, que es
de transmisión compartido. Para ello lo que el 4 en binario.
hace es dividir el medio de transmisión en
múltiples canales, para que varios nodos En el campo de las telecomunicaciones el
puedan comunicarse al mismo tiempo. demultiplexor es un dispositivo que puede
recibir a través de un medio de transmisión
Una señal que está multiplexada debe compartido una señal compleja multiplexada
demultiplexores en el otro extremo. y separar las distintas señales integrantes de la
misma encaminándolas a las salidas Dentro de la familia de circuitos TTL se les
correspondientes. denomina a estos circuitos con el número
7485 y manejan entradas de 4 bits, además de
La señal compleja puede ser tanto analógica que también se les puede conectar en cascada
como digital y estar multiplexada en para manejar entradas más grandes.
cualquiera de las distintas formas posibles
para cada una de ellas.

Ilustración 9: Estructura interna de la Ilustración 11: Estructura interna de la


compuerta DEMUX compuerta COMPARADOR

Ilustración 12: Tabla de la compuerta


COMPARADOR

3. MATERIALES:
Ilustración 10: Tabla de la compuerta
DEMUX
COMPUAS CANTIDA GRAFICO
COMPUERTA COMPARADOR LOGICAS D
OR 5
Un circuito comparador combinatorio
compara dos entradas binarias (A y B de n
bits) para indicar la relación de igualdad o AND 7
desigualdad entre ellas por medio de "tres
banderas lógicas" que corresponden a las
relaciones A igual B, A mayor que B y A
menor que B. Cada una de estas banderas se
activará solo cuando la relación a la que
corresponde sea verdadera, es decir, su salida
será 1 y las otras dos producirán una salida
igual a cero.
COMPARADO 2
R

COD 2 1. TABLAS A DISEÑAR

AND

DECO 3

NOT 5

MUX 4 OR

DEMUX 4

XOR 4

OTROS PATERALES

MARTERIAL CANTIDAD GRAFICO XOR


ALAMBRE 4m
DIODO 10
LED

FUENTE 1
materiales complementarios
2. Realizar por fases la ALU para poder
NOT realizar mejor el proyecto.
3. Verificar todos los materiales en buen
estado principalmente los Protoboards.
4. Utilizar un simulador para tener más
facilidad y entendimiento al elaborar
nuestra ALU
5. Primero elaboramos nuestro generador de
funciones

GENERADOR DE UNA FUNCION


PAR

COMPARADOR

6. Segundo elaboramos la unidad de


comparación A>B, A<B y A=B

4. PROCEDIMIENTO:

1. Conseguir las compuertas a utilizar y otros


8. cuarto elaboramos el generador de
funciones.

7. Tercero elaboramos la unidad lógica.


5. DISEÑO UNIDAD DE COMPARACION

UNIDAD LOGICA

6. CODICO DE OPERACIÓN
MUX PRINCIPAL
A B OPERACION
0 0 SUMADOR/RESTADOR
0 1 COMPARACION
1 0 OPERACIONES LOGICAS
1 1 GENERADOR DE FUNCIONES GENERADOR DE FUNCIONES

MUX UNIDAD LOGICA


A B OPERACION
0 0 AND
0 1 OR
1 0 NOT
1 1 XOR

7. SIMULACION
UNIDAD ARITMETICA
8. RESULTADOS OBTENIDOS:
1 1 0 1 0
1 1 1 1 1

COMPARADRO DE 4 BITS

Sumador restador de 4 bits


A B CI CO S
0 0 0 0 0
0 0 1 0 1 9. PREGUNTAS
0 1 0 0 1
0 1 1 1 0 1. ¿Qué es una unidad lógica
1 0 0 0 1 aritmética?
1 0 1 1 0
1 1 0 1 0 Es un circuito combinacional que
1 1 1 1 1 desarrolla micro operaciones lógicas y
aritméticas de dos operandos A y B de n
OPERACIONES LOGICAS Y bits. Las operaciones llevadas a cabo
ARITMETICAS por la ALU son controladas por un
grupo de entradas de selección de
A B X función.
0 0 A+B
2. En donde se puede aplicar una
0 1 A<B, A=B, A>B
unidad lógica aritmética?
1 0 AND-OR-XOR
1 1 GENERADOR
En una computadora o una laptop.
DEFUNCIONES
3. En qué se diferencia una operación
GENERADOR DE FUNCIONES
lógica y un comparador?
A B Co C1 S Un circuito comparador combinatorio
0 0 0 0 0 compara dos entradas binarias y La
0 0 1 0 1 lógica binaria es la que trabaja con
0 1 0 0 1 variables binarias y operaciones lógicas
0 1 1 1 0 del Álgebra de Boole
1 0 0 0 1
1 0 1 1 0
 Se recomienda polarizar las compuertas
adecuadamente.

10. CONCLUSIONES
 Utilizar un simulador para tener más
entendimiento a más de conocer cada
a. Al finalizar el proyecto quedo más
funcionamiento de cada compuerta utilizada
claro el funcionamiento de
circuitos combinacionales, y el
funcionamiento de una ALU,
aunque muy primitiva pero así
comprendemos más el 7. BIBLIOGRAFIA.
funcionamiento de lo que hace una
- - Ronald J. Tocci “Sistemas Digitales”
computadora por dentro por medio
8va ed.
de esta ALU primitiva que solo
consta de 2 operaciones que es la - http://unicrom.com/display-de-7-
suma y la resta. También el uso de segmentos/
nuestra fuente de poder fue - https://sites.google.com/site/electron
importante para la alimentación de icadigitalmegatec/home/deccoder-
nuestro circuito. bcd-a-7-segmentos
b. Otra herramienta importante fue - http://electronica-
usar un multímetro para detectar teoriaypractica.com/circuito-7447-ttl/
posibles errores en la alimentación
de los circuitos. - ELECTRÓNICA: TEORÍA Y PRÁCTICA
c. Resulto ser una buena experiencia (online), recuperado de:
en nuestro caso ya que nunca - http://electronica-
habíamos trabajado con este tipo teoriaypractica.com/circuito-7493-ttl/
de material para entender cómo - http://electronica-
teoriaypractica.com/circuito-74193-
puede estar armada una
ttl/
computadora por dentro y entender
más su funcionamiento interno. - Floyd, T. L. (2006). Fundamentos de
sistemas digitales. Pearson Educación.
Pág. 217224
11. RECOMENDACIONES -
Bibliógrafa de la web
 Verificar el funcionamiento de las
 http://es.slideshare.net/JulianS08/su
compuertas y materiales a utilizar en el
mador-completo-7855356
proyecto.
 http://www.electronica.com.es/cont
ent/26-restadores-binarios
 Verificar que el circuito este armado
 http://www.virtual.unal.edu.co/cu
adecuadamente.
rsos/ingenieria/2000477/lecciones
/030801. htm
 http://www.electronicafacil.net/circ
uitos/Multivibrador-astable-con-
555.html
 https://sites.google.com/site/tecnoi
esvillena/circuito-555
 http://www.mastermagazine.info/te
rmino/3824.php
 http://www.virtual.unal.edu.co/cu
rsos/ingenieria/2000477/lecciones
/030601. htm

 Bases digitales

Potrebbero piacerti anche