Sei sulla pagina 1di 11

Captulo 15 Memrias Semicondutoras 1

CAPTULO 15

MEMRIAS SEMICONDUTORAS

INTRODUO

As memrias semicondutoras na forma de CI possibilitaram a substituio das memrias de


ncleo, tais como armazenagem em fitas e discos. Estes circuitos podem ser divididos em duas
categorias principais: memrias volteis e memrias no volteis.
Memrias volteis mantm os seus dados somente enquanto estiverem alimentadas, isto , a
informao perdida na ausncia de alimentao. Entretanto, na grande maioria das aplicaes
esta limitao no apresenta problemas. O termo genrico para definir este tipo de memria
RAM (Randon Access Memory), memria de acesso aleatrio, onde os dados podem ser
gravados (escritos), lidos e alterados sem problemas.
Em outras situaes imperativo o uso de uma memria no voltil, a qual manter os seus
dados armazenados com ou sem alimentao. Nestes casos, o termo genrico para definir este
tipo de memria ROM (Ready Only Memory), memria somente de leitura. Basicamente, elas
so usadas nas situaes onde as informaes armazenadas no so sujeitas a trocas.

MEMRIAS RAM's

O mercado de memrias tem proliferado e mais de 3000 circuitos de memria so disponveis.


Conseqentemente, em um projeto especfico, a escolha de qual componente deve ser usado
deve ser feita considerando as caractersticas da memria para tal aplicao. Dados
relacionados com esta escolha sero: o tamanho da memria, seu consumo de potncia, custo,
sua velocidade e caractersticas de volatilidade. Porque as RAM's perdem seus dados na falta de
alimentao, os sistemas necessitaro de baterias de backup ou, ento, devero transferir os
dados importantes para um meio no voltil, antes de desligar a alimentao.
As memrias semicondutoras so construdas, principalmente, de gates bipolares ou gates
MOS. Ambos os tipos de memrias guardam suas informaes em flip-flops ou em capacitores
dentro do CI.
As memrias RAM's so divididas em duas categorias: Estticas e Dinmicas.
Memrias Dinmicas so dotadas de capacitores internos, para armazenagem dos dados, e
necessitam de um circuito adicional, denominado circuito de refrescamento (refreshing), para
recarga peridica dos dados armazenados. Isto porque existe a tendncia natural de descarga
dos capacitores com o passar do tempo. Estas memrias so de velocidade moderada, de baixo
consumo, de maior capacidade de armazenagem, requerem maior tempo de projeto e tm
menor custo por bit devido a sua maior densidade.
Memrias Estticas guardam suas informaes em flip-flops e so de controle mais simplificado,
no necessitando de nenhum refrescamento peridico. Neste captulo sero abordadas as
memrias RAM's estticas.

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 2

ORGANIZAO DE UMA MEMRIA RAM ESTTICA

Figura 1 - Organizao de uma memria RAM ESTTICA

Uma memria RAM normalmente tem pinos com as seguintes funes de entradas ou de sadas:

1. M Bits de sada de dados;


2. M bits de entrada de dados;
3. N bits de endereos (para acessar 2N palavras);
4. Entrada(s) de habilitao para leitura/escrita;
5. Entrada de habilitao ou seleo do CHIP.

Devido a limitaes no tamanho dos CHIPs, memrias de maior capacidade possuem as


funes de entrada e sada de dados em um mesmo conjunto de pinos. Quem diz se o dado
estar entrando na memria ou saindo da mesma ser o comando de leitura/escrita na memria.
A maioria das memrias tm sadas em coletor aberto ou em tri-state, para permitir ligao em
paralelo das mesmas, a fim de se obter maior capacidade de manuseio de dados. Assim,
quando o sinal de habilitao do CHIP no estiver atuando, este componente ficar no estado de
alta impedncia, onde no se pode escrever na memria e nem ler seus contedos. Isto significa
que a memria estar desconectada dos demais componentes externos.
A operao de gravao ou escrita na memria feita colocando-se os dados nas linhas de
entrada, habilitando o CHIP, colocando-se os sinais de endereo da posio desejada e
habilitando a escrita na memria. Deste modo, os dados colocados nas linhas de entrada sero
escritos na posio selecionada pelas linhas de endereamento. Deve-se tomar o cuidado com a
seqncia correta de colocao dos sinais, pois uma alterao nos dados ou nos endereos,
enquanto o sinal de escrita estiver habilitado, ir alterar o contedo do endereo atual
selecionado, apagando o contedo anterior.
A operao de leitura da memria feita colocando-se os sinais de endereo da posio que se
deseja ler, habilitando a leitura da memria e, finalmente, habilitando o CHIP. Deste modo, a
posio de memria selecionada libera seu contedo para os pinos correspondentes de sada de
dados. A leitura no destrutiva. Novamente, deve-se tomar o cuidado com o(s) sinal(is) de
leitura/escrita para que, durante um processo de leitura da memria, no se faa uma escrita
errada na posio selecionada. Normalmente, o(s) sinal(is) de leitura/escrita deve(m)

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 3

permanecer selecionando leitura; s selecionaro escrita quando tiver certeza de que os outros
sinais esto corretamente colocados.

TEMPOS IMPORTANTES DE MEMRIA

a) Tempo de Acesso
o tempo requerido para a memria apresentar dados vlidos aps
firmados os sinais de endereo e seleo.

b) Tempo de Ciclo ou Tempo de Escrita


o tempo requerido para que o endereo e dados sejam mantidos
constantes a fim de se gravar na memria.

MEMRIAS RAM's BIPOLARES E MOS

A maioria das memrias so construdas com flip-flops bipolares (TTL) ou MOS. As memrias
bipolares so todas estticas. Tais memrias so muito rpidas e so usadas em sistemas de
alta velocidade, exigindo somente uma fonte de +5 volts.
Existem na linha TTL 74 vrias memrias RAM, entre as quais pode-se citar a 7481 (16 palavras
de 1 bit), a 74LS170 (4 palavras de 4 bits), a 7489 (16 palavras de 4 bits), a 74201 (256 palavras
de 1 bit), etc. Estas memrias tm pequena capacidade de armazenagem e, portanto, o custo de
produo por bit alto. Por este motivo, esto deixando de ser produzidas por alguns
fabricantes.
O aperfeioamento da tecnologia MOS possibilitou a construo de memrias estticas HMOS e
HCMOS que possuem caractersticas de alta performance relativos a baixo consumo e altas
velocidades.
A seguir ser abordada a memria 6116 (2048 x 8 bits).
A memria esttica 6116 uma memria na tecnologia CMOS, contendo 2048 palavras de 8 bits
e possuindo baixo consumo, o que possibilita operao com baterias de backup e no requer
nenhum circuito de refrescamento. A reteno de dados garantida para alimentao de at 2
volts no mnimo. Para tal capacidade, esta memria tem 8 pinos (M = 8) para bits de dados,
operando como entrada/sada (I/01 at I/08), 11 pinos (N = 11) para endereamento (A at
A10) e 3 pinos para operaes de controle lgico.
Possui as seguintes caractersticas:

1. Tempo de acesso de 100 ns/120 ns/150 ns;


2. Corrente de operao no modo normal 70 mA;
3. Corrente de operao no modo standby 50 A;
4. Reteno de dados para 2 volts;
5. Entradas e sadas diretamente compatveis com TTL;
6. nica fonte de 5V 10%;
7. Sadas Tri-state;
8. Barramento de entrada e sada comum;
9. Pinagem compatvel com memrias EPROM de 16K, por exemplo 2716.

A figura 2 mostra o lay-out dos pinos da memria 6116.

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 4

Figura 2 - Lay-out da memria 6116

A figura 3 mostra a tabela de funcionamento da memria 6116.

CS OE WE I/1-8 OPERAO
H X X Alta Impedncia Desabilitado
L L H Sada Leitura
L H L Entrada Escrita
L L L Entrada Escrita

Figura 3 - Tabela de Funcionamento para 6116

CONSTRUO DE MEMRIAS MAIORES

A figura 4 mostra o diagrama em blocos resumido de uma memria de 4096 palavras de 8 bits,
usando duas memrias 6116 de 2048 palavras de 8 bits.
A finalidade do CI 74LS155 operar como decodificador de endereos, ou seja, dependendo do
endereo especificado pelo bit mais significativo (MSB), este CI selecionar uma das memrias
6116.

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 5

Figura 4 - Memria de 4096 palavras x 8 bits construda com 2 memrias de 2048 palavras
x 8 bits

MEMRIAS ROM

As memrias ROM so pr-gravadas de algum modo ou de forma semi-permanente. No so


gravadas durante a operao normal do dispositivo; o contedo de uma ROM no muda e no
voltil, isto , se faltar energia de alimentao o contedo no destrudo. As ROM's so usadas
para converses de cdigos, memorizao de tabelas e para programas de finalidades especiais
de controle em computadores. Usualmente, so as ROM's que rodam o programa num
microprocessador. Este programa denominado de programa monitor, ou sistema operacional
da mquina.
Pode-se classificar as ROM's em:

1) ROM propriamente dita;


2) PROM;
3) EPROM;
4) EAPROM.

Nas ROM's propriamente ditas, as palavras j so gravadas no processo de fabricao. O


usurio no pode mudar o contedo da ROM.
Nas PROM's (ROM's programveis), o usurio consegue gravar na memria uma nica vez.
Geralmente, isto feito atravs da queima de elos fusveis na matriz, sendo a programao
realizada por equipamentos especiais.

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 6

EPROM's so memrias PROM's apagveis, em que os contedos da memria podem ser


apagados e novamente gravados com aparelhagem especial. Geralmente, o apagamento feito
com luz ultra violeta.
EAPROM's so memrias EPROM's apagveis eletricamente.

Pode-se encarar a ROM como um codificador, no sentido de que para uma nica entrada ativada
de cada vez h um conjunto de sadas correspondente a esta entrada. A figura 5 a tabela de
um codificador de 4 entradas e 4 sadas.

ENTRADAS SADAS
A B C D S4 S3 S2 S1
1 1 1
1 1 1
1 1 1
1 1 1

Figura 5 - Codificador (ROM) de 4 entradas e 4 sadas

A figura 6 mostra o circuito lgico da ROM da tabela da figura 5.

Figura 6 - Circuito correspondente a ROM da tabela da figura 5

Figura 7 - Memria PROM correspondente a tabela do codificador da figura 5

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 7

No caso da ROM da figura 6, tem-se uma memria de 4 palavras e cada palavra de 4 bits. A
figura 7 mostra a execuo deste codificador na forma de PROM com matriz de diodos e elos
fusveis. Se as memrias fossem feitas como a das figuras 6 ou 7, o nmero de pinos
necessrios para enderear a memria teria de ser igual ao nmero de palavras endereadas.
Ento, no seria possvel fazer-se estas memrias na forma de circuitos integrados, os quais tm
um nmero limitado de pinos. A fim de se diminuir o nmero de entradas de endereamento,
usa-se um circuito chamado decodificador, em que para cada combinao das entradas do
decodificador uma nica entrada de endereamento da memria ativada. A tabela da figura 8
a de um decodificador adequado a memria da figura 6. Normalmente, as memrias j vem com
o decodificador incorporado.

ENTRADAS SADAS
E1 E2 A B C D
1
1 1
1 1
1 1 1

Figura 8 - Tabela de um decodificador de 2 entradas e 4 sadas

A figura 9 mostra o circuito do decodificador correspondente a tabela da figura 8.


Finalmente, a figura 10 mostra o circuito da memria ROM correspondente a tabela da figura 5,
com o decodificador incorporado.

Figura 9 - Circuito do decodificador correspondente a tabela da figura 8

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 8

Figura 10 - Circuito da Memria ROM com decodificador incorporado

OBJETIVO

Utilizando-se o Mdulo Universal 2000 e a placa de experincias ED15, verificar,


experimentalmene, a utilizao da memria 6116.

MATERIAL NECESSRIO

Placa de experincias ED15;


Mdulo Universal 2000.

PROCEDIMENTO

A experincia relatada neste captulo est pronta na placa ED15. Para sua utilizao basta
encaixar esta placa no mdulo, como descrito a seguir.

EXPERINCIA : UTILIZAO DE MEMRIAS 6116 PARA FORMAR UMA MEMRIA


DE 4096 PALAVRAS DE 8 BITS

A chave TTL/CMOS deve estar na posio TTL, caso contrrio a placa de


experincias poder ser danificada.

1. Desligar o Mdulo Universal 2000;


2. Colocar a chave TTL/CMOS na posio TTL;
3. Encaixar a placa de experincias ED15 no SLOT H do Mdulo Universal 2000;
4. Ligar o Mdulo Universal;
5. O circuito a ser verificado ser o da figura 11:

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 9

F 0 0 0 0 0 0 0 G H I J

+5V +5V
24 19 22 23 1 2 3 4 5 6 7 8 24 19 22 23 1 2 3 4 5 6 7 8
VCC A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 VCC A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0
A

20 20 12
6116 - 0 OE OE 6116 - 1 GND

12
GND

CS WE I/O8 I/O7 I/O6 I/O5 I/O4 I/O3 I/O2 I/O1 CS WE I/O8 I/O7 I/O6 I/O5 I/O4 I/O3 I/O2 I/O1
18 21 17 16 15 14 13 11 10 9 18 21 17 16 15 14 13 11 10 9

L0
L1
L2
L3
L4
L5
L6
L7

3 5 7 9 12 14 16 18
13 7 2Y4 2Y3 2Y2 2Y1 1Y4 1Y3 1Y2 1Y1
1Y0
A 6
1Y1
+5V
16
VCC 1G 1
74LS244
2G 19 A
74LS155
1 9 2A4 2A3 2A2 2A1 1A4 1A3 1A2 1A1
1C 2Y0
15 10
A 2C 2Y1 17 15 13 11 8 6 4 2

2G GND B 1G F B C D E
1 - LEITURA
14 8 3 2
A
0 - ESCRITA

Figura 11 Acoplamento de memrias 6116 (2048 x 8 bits) para formar uma memria de
4096 palavras de 8 bits

6. No circuito da figura 11, o CI 74LS155 estar habilitando a escrita/leitura nas 16 primeiras


posies da memria 6116-, quando F = , ou habilitando a escrita/leitura nas 16
primeiras posies da memria 6116-1, quando F = 1. A operao de leitura/escrita ser
selecionada pela chave A ( = escrita, 1 = leitura). Se todas as linhas de endereamento
fossem usadas, haveria 2048 palavras de 8 bits endereadas para cada memria, num total
de 4096 palavras de 8 bits.

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 10

7. Completar a tabela da figura 12:

ENDEREOS ENTRADAS SADAS COMEN-


TRIOS
A F G H I J B C D E L7 L6 L5 L4 L3 L2 L1 L
1 1 1 1 1 1 1 1
1 1 1 1 1 1
1 1 1 1 c
1 1

1 1 1 1 1
1 1 1 1 1
1 1 1 1 1 d
1 1 1 1 1
1 1 1 1 1
1 1 X X X X
1 1 1 X X X X
1 1 1 1 X X X X e
1 1 1 1 1 X X X X
1 1 1 1 1 1 X X X X
1 1 1 1 1 X X X X
1 1 1 1 X X X X
1 1 1 X X X X f
1 1 X X X X
1 X X X X

COMENTRIOS:
1) Escrita nas 16 palavras iniciais de 6116-
2) Escrita nas 16 palavras iniciais de 6116-1
3) Leitura das 16 palavras iniciais de 6116-1
4) Leitura das 16 palavras iniciais de 6116-

Figura 12 - Tabela para o circuito da figura 11

8. Na tabela da figura 12, observar que em:


c foram feitas operaes de escrita em cinco endereos localizados entre as 16
primeiras palavras, o que corresponde ao uso da 6116- .
d foram feitas operaes de escrita em cinco endereos localizados entre as 16
primeiras palavras da 6116-1.
Nos casos c e d, na parte mais significativa dos bytes da memria foi gravado
(F)2, tal como mostrado pelo circuito da figura 11.
e foram lidos os mesmos endereos usados em d. As sadas devem ser as
mesmas entradas escritas em d, com partes mais significativas iguais a .

Datapool Eletrnica
Captulo 15 Memrias Semicondutoras 11

f foram lidos os mesmos endereos usados em c. As sadas devem ser as


mesmas entradas escritas em c, com partes mais significativas iguais a 1.

Observao: os endereos usados nos itens c e d foram escolhidos de forma a evitar corridas.
Deve-se obedecer as seqncias de mudanas das chaves, conforme experincia anterior.

9. O demultiplexador da figura 11 permite a construo de uma memria de 8192 palavras de 8


bits, sendo necessrio mais duas memrias 6116. Isto seria possvel pelo fato do
demultiplexador 74LS155 ainda ter disponveis as sadas 1Y2, 1Y3, 2Y2 e 2Y3. Neste caso,
a entrada B do 74LS155 participaria como uma entrada de seleo, ou de endereo. Assim,
o mapa de endereamento deste circuito seria dado pelas linhas A at A10 das memrias
6116 conectadas em paralelo e pelas linhas A e B do 74LS155, que seriam responsveis
pela habilitao de uma das quatro memrias existentes, como esquematizado na tabela da
figura 13 a seguir.

LINHAS DE ENDEREAMENTO MEMRIA


B A A1 A A A A A A A A A A SELECIONADA
0 9 8 7 6 5 4 3 2 1
De 6116-
At 1 1 1 1 1 1 1 1 1 1 1 End.H at 7FFH
De 1 6116-1
At 1 1 1 1 1 1 1 1 1 1 1 1 End.8H at FFFH
De 1 6116-2
At 1 1 1 1 1 1 1 1 1 1 1 1 End.1H at 17FFH
De 1 1 6116-3
At 1 1 1 1 1 1 1 1 1 1 1 1 1 End.18H at 1FFFH

Figura 13 - Mapa de endereos de uma memria de 8192 palavras de 8 bits, construda


com 4 x 6116 (2048 x 8 bits)

Datapool Eletrnica

Potrebbero piacerti anche