Sei sulla pagina 1di 13

TEMA:

Control de Motor paso a paso


OBJETIVO GENERAL

Elaborar un programa y un circuito que me permita controlar el movimiento de un


motor paso a paso.

OBJETIVOS ESPECFICOS

Poner en prctica los conocimientos adquiridos de programacin de


microcontroladores durante el semestre.

Comprobar el funcionamiento adecuado del circuito cargador el mismo


que nos permite programar el PIC.
MARCO TERICO

MOTOR PASO A PASO

En numerosas ocasiones es necesario convertir la energa elctrica en energa


mecnica, esto se puede lograr, por ejemplo, usando los motores de corriente
continua. Pero cuando lo deseado es posicionamiento con un elevado grado de
exactitud y/o una muy buena regulacin de la velocidad, se puede contar con una
gran solucin: utilizar un motor paso a paso. El desarrollo de la presente
investigacin tiene por objeto dar a conocer los principios bsicos de
funcionamiento de este tipo de motores, sus caractersticas constructivas y las
formas bsicas de hacer funcionar los motores por medio de dispositivos
microcontroladores. Los motores paso a paso son ideales para la construccin de
mecanismos en donde se requieren movimientos muy precisos. La caracterstica
principal de estos motores es el hecho de poder moverlos un paso a la vez por
cada pulso que se le aplique. Este paso puede variar desde 90hasta pequeos
movimientos de tan solo 1.8, es decir, que se necesitarn 4 pasos en el
primer caso (90) y 200 para el segundo caso (1.8), para completar un giro
completo de 360.Estos motores poseen la habilidad de poder quedar enclavados
en una posicin o bien totalmente libres. Si una o ms de sus bobinas estn
energizadas, el motor estar enclavado en la posicin correspondiente y por el
contrario quedar completamente libre si no circula corriente por ninguna de sus
bobinas.

El motor paso a paso est constituido esencialmente por dos partes:a) Una fija
llamada

"estator",
Construida a base de cavidades en las que van depositadas las bobinas que
excitadas convenientemente formarn los polos norte-sur de forma que se cree un
campo magntico giratorio.
b) Una mvil, llamada

"rotor"
Construida mediante un imn permanente, con el mismo nmero depares de
polos, que el contenido en una seccin de la bobina del estator; este conjunto va
montado sobre un eje soportado por dos cojinetes que le permiten girar
libremente.

Si por el medio que sea, conseguimos excitar el estator creando los polos
N-S, y hacemos variar dicha excitacin de modo que el campo magntico formado
efecte un movimiento giratorio, la respuesta del rotor ser seguir el movimiento
de dicho campo, producindose de este modo el giro del motor. Puede decirse por
tanto que un motor paso a paso es un elemento que transforma impulsos
elctricos en movimientos de giro controlados, ya que podremos hacer girar al
motor en el sentidoque deseemos y el nmero de vueltas y grados que
necesitemos

TIPOS DE MOTORES PASO A PASO


Hay dos tipos bsicos de motores Paso a Paso, los BIPOLARES que se
componen de dos bobinasy los UNIPOLARES que tienen cuatro bobinas.
Externamente se diferencian entre s por el nmerode cables. Los bipolares solo
tienen cuatro conexiones dos para cada bobina y los unipolares quenormalmente
presentan seis cables, dos para cada bobina y otro para alimentacin de cada par
destas, aunque en algunos casos podemos encontrar motores unipolares con
cinco cables,bsicamente es lo mismo, solo que el cable de alimentacin es
comn para los dos pares debobinas.

Motores Unipolares:
En este tipo de motores, todas las bobinas del estator estn conectadas enserie
formando cuatro grupos. Esta a su vez, se conectan dos a dos, tambin en serie, y
se montansobre dos estatores diferentes, tal y como se aprecia en la anterior
Figura, donde en dicho motor paso a paso salen dos grupos de tres cables, uno de
los cuales es comn a dos bobinados.Los seis terminales que parten del motor,
deben ser conectados al circuito de control, el cual, secomporta como cuatro
conmutadores electrnicos que, al ser activados o desactivados, producenla
alimentacin de los cuatro grupos de bobinas con que est formado el estator. Si
generamosuna secuencia adecuada de funcionamiento de estos interruptores, se
pueden producir saltos deun paso en el nmero y sentido que se desee
Figura 3.- Control de motor Unipolar

Motores Bipolares
: En este tipo de motores las bobinas del estator se conectan en serieformando
solamente dos grupos, que se montan sobre dos estatores, tal y como se muestra
en laanterior Figura, donde en dicho motor salen cuatro hilos que se conectan, al
circuito de control, querealiza la funcin de cuatro interruptores electrnicos
dobles, que nos permiten variar la polaridadde la alimentacin de las bobinas. Con
la activacin y desactivacin adecuada de dichosinterruptores dobles, podemos
obtener las secuencias adecuadas para que el motor pueda girar enun sentido o
en otro.

Figura 4.- Control de motor Bipolar


La existencia de varios bobinados en el estator de los motores de imn
permanente, dalugar a varias formas de agrupar dichos bobinados, para que sean
alimentados adecuadamente.Estas formas de conexin permiten clasificar los
motores paso a paso en dos grandes grupos:
Diagrama Esquemtico
Diagrama de Flujo
Programa Principal:
LIST P=16F84 ;Eleccin del m odelo del PIC
INCLUDE "P16F84.INC" ;Definiciones de registros i nternos
RADI X HE X ;Sistem a de num eracin hexadecim al.

TEMP1 EQU 0x0C ;Registros de propsito general.


TEMP2 EQU 0x0D

ORG 0 X00
GOTO INICIO
ORG 0X05

;------------------------------------------------------------------------

PROGRAMA PRINCIPAL

INICIO:

BSF STATUS, RP0


MOVLW b'000111 11' ;Se configura RA0 como entrada y el resto de la
MOVWF TRI SA ;PORTA tambin como entrada.
CLRF TRI SB
BCF STATUS, RP0
CLRF I NTCON ;Se anulan las interrupciones.

I NTERRUP
BTFSS PORTA,2 ;Se averigua si el circuito esta ON/OFF
GOTO BUCLE_1
GOTO I NTERRUP
BUCLE_1
BTFSS PORTA,0 ;Se mira el estado del interruptor RA0 para girar
GOTO I ZQUI ERDA_1 ;el motor hacia la izquierda o hacia la derecha.
GOTO DERECHA_1

;-----------------------------------------------------------------------

;I ZQUI ERDA_1 Y DERECHA_1: Son las encargan de dar al motor los pasos correspondientes

;para que gire en un sentido en otro.

IZQUIERDA_1:

MOVLW b'001100 01' ;primer pasopara el giro hacia la izquierda.


MOVWF PORTB
CALL TEMPO ;Temporizacin antes de pasar al siguiente paso
MOVLW b'00110010' ;segundo paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'001100 01' ;primer pasopara el giro hacia l a izquierda.
MOVWF PORTB
CALL TEMPO ;Temporizacin antes de pasar al siguiente paso
MOVLW b'00100010' ;segundo paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
GOTO RESET
GOTO BUCLE_1 ;Se vuelve a BUCLE para mirar el estado de RA0.
DERECHA_1:
MOVLW b '00110010' ;primer paso para el giro hacia la derecha.
MOVWF PORTB
CALL TEMPO ;Temporizac in antes del siguiente paso.
MOVLW b'00110001' ;segundo paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110010' ;primer paso para el giro hacia la derecha.
MOVWF PORTB
CALL TEMPO ;Temporizacin antes del siguiente paso.
MOVLW b'00110001' ;segundo paso.
MOVWF
PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110010' ;primer paso para el giro hacia la derecha.
MOVWF PORTB
CALL TEMPO ;Temporizac in antes del siguiente paso.
MOVLW b'00110001' ;segundo paso.
MOVWF
PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF
PORTB
CALL TEMPO
GOTO RESET
GOTO BUCLE_1 ;Se vuelve a BUCLE para mirar el estado RA0.

;-----------------------------------------------------------------

;TEMPO Subrutina de temporizacin.

TEMPO
MOVLW 0x0F ;carga 0F en TEMP1
MOVWF TEMP1
CLRF TEMP2 ;Carga 0 en TEMP2
TEMPO_1
DECFSZ TEMP2, F ;Decrementa TEMP2 y si es 0 salta
GOTO TEMPO_1 ;volver a TEMPO_1
DECFSZ TEMP1, F ;Decrementa TEMP1 y si es 0 salta
GOTO TEMPO_1 ;volver a TEMPO_1
RETURN

;-------------------------------------------------------------------

;RESET Subrutina de reseteo

RESET
BTFSC PORTA,4
GOTO BUCLE_2
GOTO RESET
BUCLE_2
BTFSS PORTA,0 ;Se mira el estado del interruptor RA0 para girar
GOTO DERECHA_2 ;el motor hacia la deracha o hacia la izquierda.
GOTO I ZQUI ERDA_2
RETURN

; ------------------------------------------------------------------------------

;I ZQUI ERDA_2 Y DERECHA_2: Son las encargan de dar al motor los


pasoscorrespondientes
;para que gire en un sentido en otro

IZQUIERDA_2:
MOVLW b'00110001' ;primer pasopara el giro hacia la izquierda.
MOVWF PORTB
CALL TEMPO ;Temporizac in antes de pasar al siguiente paso
MOVLW b'00100010' ;segundo paso.
MOVWF
PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'001100 01' ;primer pasopara el giro hacia la izquierda.
MOVWF PORTB
CALL TEMPO ;Temporizac in antes de pasar al siguiente paso
MOVLW b'00100010' ;segundo paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110001' ;primer pasopara el giro hacia la izquierda.
MOVWF PORTB
CALL TEMPO ;Temporizac in antes de pasar al siguiente paso
MOVLW b'00100010' ;segundo paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
GOTO I NTERRUP ;Se vuelve a INTERRUP para mirar el estado de RA2.
DERECHA_2:
MOVLW b'00110010' ;primer paso para el giro hacia la derecha.
MOVWF PORTB
CALL TEMPO ;Temporizac in antes del sig uiente paso.
MOVLW b'00110001' ;segundo paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110010' ;primer paso para el giro hacia la derecha.
MOVWF PORTB
CALL TEMPO ;Temporizac in antes del siguiente paso.
MOVLW b'00110001' ;segundo paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110010' ;primer paso para el giro hacia la derecha.
MOVWF PORTB
CALL TEMPO ;Temporizac in antes del siguiente paso.
MOVLW b'001100 01' ;segundo paso.
MOVWF PORTB
CALL TEMPO
MOVLW b'00110100' ;tercer paso.
MOVWF PORTB
CALL TEMPO
GOTO I NTERRUP ;Se vuelve a INTERRUP para mirar el estado de RA2.
END

http://es.scribd.com/doc/92608423/Control-Motor-16F84#scribd
el actual link utilizado

http://es.scribd.com/doc/97203892/CONTROL-DE-MOTOR-PASO-A-PASO-CON-PIC-docx

la configuracin del circuito

http://www.iesleonardo.info/ele/pro/CURSO%202002-
2003/Juan%20Carlos%20de%20Pedro%20Ramos/control%20de%20motores%20paso%20a
%20paso.htm

de todo un poquito

Potrebbero piacerti anche