Sei sulla pagina 1di 18

UNIVERSIDAD NACIONAL

MAYOR DE SAN MARCOS


Universidad Del Per, DECANA DE AMRICA
FACULTAD DE INGENIERA ELCTRICA Y ELECTRNICA
E.A.P INGENIERA ELECTRNICA

LABORATORIO DE MEDICIONES ELECTRNICAS

TEMA: CIRCUITOS CONTADORES

DOCENTE: ING. CASIMIRO PARIASCA OSCAR

INFORME FINAL N05


APELLIDOS Y NOMBRES CDIGO
HUAYLLANI QUISPE, LUIS MIGUEL 13190084
MUOZ PESCORAN, LILA CARLA 13190016
OSORIO CASTRO, RAUL JHANPHIER 13190156
INFORME FINAL N05
CIRCUITOS CONTADORES
I. OBJETIVO:
1. Disear circuitos secuenciales autnomos (contadores) utilizando CIs estndar.

II. MATERIALES y EQUIPO:


Protoboard, cables de conexin, mdulos EB-205, TM-01
CI TTL:
2 CI 74LS90 (contador de dcadas, de 0 a 9)
1 CI 74LS93 (contador de rizo, 4 bits)
1 CI 74LS161 (contador binario con carga en paralelo)
2 CI 74LS47 (decod BCD a 7 segmentos)
1 CI 74LS193 (contador ascendente-descendente)
1 CI 74LS154 (decodificador)
2 CI 74LS76 (flip-flop JK)
1 CI 74LS08
1 CI 74LS160 (contador sncrono 4 bits)
1 CI 74LS163 (contador sncrono 4 bits)
2 display de 7 segmentos
LEDs (varios)
Resistencias: - 14 x 120 OHM, Watt;
2 x 47 ohm
Fuente C.C. +5 voltios; VOM; ORC; Generador de Pulsos.

III. CUESTIONARIO FINAL

1. Analice y explique el funcionamiento de los circuitos de la parte experimental.


1) Uso del CI 74LS90
a) Realizar el diseo de un contador de 0 a 9 teniendo en cuenta slo las conexiones de la
parte superior del esquema adjunto. Comprobar su correcto funcionamiento.

Para que el CI 74LS90 funcione como contador MOD 10, al menos dos de los cuatro
reset, deben estar a un nivel bajo, as que para asegurar el conteo, ponemos los cuatro
terminales a tierra.
Para obtener la mxima cuenta (dcada), la entrada B est conectado a la salida Q A. Para
una secuencia BCD.
As se vern las salidas:

Luego, consecutivamente irn saliendo los dems nmeros:


Luego se repetir una y otra vez la secuencia de conteo, hasta que se manipulen los
reset del componente.
Opcional:
b) Duplicar el circuito anterior y comprobar nuevamente su funcionamiento.
El circuito ya fue comprobado.
c) Enlazar convenientemente ambos montajes, segn el esquema indicado, para construir
un contador de 0 a 99.

El circuito mostrado viene a ser un contador de 0 a 99, es decir el mximo conteo que se
puede representar con el 193.
El funcionamiento del primer IC es el mismo que el caso anterior, una dcada completa,
es decir de 0 a 9. Con el clock principal como seal de entrada.
El segundo IC trabaja, en cambio, con la seal de salida del primero. Cuando el primer IC
llega a su mxima cuenta, se activa el pulso de entrada para el segundo IC y comienza la
cuenta. El periodo de las pulsaciones ser cada dcada, al final de cada cuenta del primer
IC. Entonces esta cuenta representa a las decenas. Al Llegar a la mxima cuenta, 99,
vuelve a comenzar el ciclo.
Para el primer IC, el BI/RBO, est a un nivel alto, esto implica que el cero est incluido en
la cuenta de las unidades.
El 2do IC, tiene conectado su terminal BI/RBO a la salida del RBI del 1, y su terminal RBI
a un nivel bajo; esto significa que se eliminan los ceros que no son significativos en la
cuenta a la izquierda del nmero producido en la cuenta, es decir, cuando se comience a
contar: 0, 1, 9; no aparecer un 0 a la izquierda de estos dgitos. Se elimina el 0 en la
cuenta del 2 IC.
Las seales de reset en la entrada estn todas a nivel bajo para asegurar el
funcionamiento, pero R0(1) Y R0(2) estn conectadas a un switch lgico, que permite
recomenzar el conteo manualmente donde se desee.
As se observa el conteo:

Cabe notar que para los primeros nmeros, el display de la izquierda, la salida del 2 IC,
est apagado.
d) Realizar las modificaciones necesarias para que el circuito anterior cuente de 0 a 59.
Para que el sistema cuente solamente de 0 a 59, es decir, en MOD 60, debemos conectar
un circuito que tenga como respuesta un 1, despus de que se haya contado 59, a los
terminales de reset, para que vuelva a empezar el conteo.
Este circuito vendra a ser una compuerta AND, que como entradas tenga otros dos
circuitos que produzcan unos, en los dgitos 0 y 6, para el 1 y 2 IC respectivamente,
cuando en ambos, a la vez produzcan uno, el circuito volver a empezar el conteo.
El diseo del circuito sera el siguiente:
Cabe sealar que todas las compuertas AND se podan reemplazar por una nica de 8

entradas, para este caso, que produzca el uno lgico, para la secuencia: 0110 0000, que
es el 60, el estado que vuelve el conteo al principio.
La cuenta slo se mostrar hasta el nmero 59, pues el 60 es el estado que produce el
reinicio.
2) Uso del CI 74LS93 (Contador de 3 bits y 4 bits)
- Conecte el CI 74lS93 para que trabaje como contador de 3 bits usando la entrada B y los
FF QB, QC y QD. Verificar el funcionamiento conectando LEDs a las salidas.

En este modo el 93 funciona como contador de 3 bits, conectando el pulso de entrada al

clock B, con salidas QB, QC y QD, de LSB a MSB, respectivamente.


- Ahora conecte el CI 74LS93 como un contador de 4 bits usando la entrada A y
conectando la salida Qa al terminal de entrada B. Las entradas R1 y R2 deben estar a
tierra, Los terminales 5 y 10 se conectan a la fuente de 5 Vcc y a tierra respectivamente.
Los pulsos se aplican a la entrada 14 (A). La salida Qa corresponde al bit menos
significativo. Verificar el funcionamiento del contador conectando LEDs a las salidas.
Cuando se conecta el clock de entrada, al puerto A, y el clock B a la salida Qa, tenemos la
configuracin para un contador MOD 16, el mximo conteo para el 93. Los terminales de
reset se encuentran, para su operacin normal a nivel bajo, pero con un switch lgico para
poder restablecer el conteo manualmente.
La secuencia de conteo ser la siguiente:

Ntese que las letras A, BE, F; pertenecen a las codificaciones mayores que 9.

Contador binario.
Conectar el CI 74LS93 como se muestra en la figura 4. La cuenta llega al 15 binario y
luego regresa a 0.
Este paso fue explicado en su modo contador de 4 bits.
Aplicando una seal de reloj de 1 KHZ, observar las salidas con el osciloscopio. Dibujar
las formas de onda, considerando al menos 16 ciclos de reloj. Observe que la frecuencia
de reloj en la salida del primer FF es la mitad que la frecuencia de entrada del reloj. Cada
FF subsiguiente reduce a la mitad la frecuencia que recibe. El contador de 4 bits divide la
frecuencia de entrada entre 16 en la salida QD.
Los periodos de las seales de salida, son en cada caso el doble que la anterior.
Contador BCD
Conectar el CI 74LS93 como contador BCD tal como se observa en la figura 5.

Para que el 93 funcione como un contador BCD, de 0 a 9, debemos resetear el


dispositivo despus de su dcimo estado, es decir cuando aparezca el nmero 10 en las
salidas: la codificacin 1010. Como observamos, las salidas Q D y QB, se encuentran en
alto, en este estado, as, conectamos estos terminales a los controles de reset, para
recomenzar el conteo, despus de 9.
Otra forma de configuracin sera la siguiente, pero es menos prctica, pues utiliza un
conector AND, para realizar el reseteo:

La representacin BCD emplea los nmeros binarios del 0000 al 1001 para codificar los
nmeros decimales del 0 al 9. Observar las formas de onda en las salidas con el
osciloscopio, dibujar las formas de onda, considerando al menos 10 ciclos de reloj.

Otros contadores:
El CI 74LS93 puede conectarse de modo que cuente desde 0 hasta diversos conteos
finales Esto se hace conectando una o dos salidas a las entradas de CLEAR es decir a R1
y R2.Por ejemplo, si se conecta R1 a Qa en vez de Qb, el conteo ser de 0000 a 1000.
Verificar experimentalmente.
En efecto, si cambiamos Qa a la entrada de R1, el estado que genera una secuencia de
reseteo sera: 1001, que es el 9; entonces se podr apreciar el conteo hasta el 8.
Cabe sealar que para estos casos, la secuencia siguiente de conteo slo posee dos
unos en su codificacin, para estados cuya codificacin siguiente poseen ms de dos
unos, es necesario implementar un circuito con puertas AND, que genere un estado alto,
para resetear al circuito.
Verificar, asimismo, el conteo desde 0000 hasta:
a) 1101
El estado siguiente es: 1110, el diseo ser:

b) 0111
El estado siguiente ser: 1000, el diseo del circuito ser:

En este caso no es necesario el uso de otras compuertas, pues el estado de


reseteo:1000, slo posee un uno, en el terminal Qd, el cual se conectar a ambos
terminales de reset.
c) 1011
El estado siguiente es:1100; entonces el diseo ser:

Conecte cada circuito y verifique la sucesin de conteo aplicando pulsos con el pulsador
y observando el conteo de salida en los LEDs.
Cada una de las salidas las podemos apreciar en los displays correspondientes.
Formas de onda en compuerta NAND
Conectar el circuito de la figura 6 y verificar las formas de onda a la salida de la
compuerta NAND.
3) Uso del CI 74LS76
Contador de rizo
Construya un contador de rizo de 4 bits usando el CI74LS76. Conecte a 1 lgico todas
las entradas asincrnicas de Preset y Clear. Conecte la entrada de pulso de conteo a un
pulsador y verifique que el contador funcione correctamente. Modifique el contador de
modo que cuente hacia abajo. Compruebe que cada pulso de entrada disminuye en 1 el
contador.
Este es el diseo para un contador de 4 bits, usando FF JK, Las salidas son Q, QB, QC,
QD; con QD como el MSB.

Contador sincrnico
Construya un contador sincrnico de 4 bits y verifique su funcionamiento. Use 2 CI74LS76
y un CI 74LS08.
Para construir un contador a base de FF-JK, debemos desarrollar una tabla con los
estados que queremos obtener y luego obtener sus ecuaciones de salida a partir de los
mapas de Karnaugh.

Estado QD QC QB QA JD KD JC KC JB KB JA KA Qn Qn+1 J K
0 0 0 0 0 0 X 0 X 0 X 1 X 0 0 0 X
1 0 0 0 1 0 X 0 X 1 X X 1 0 1 1 X
2 0 0 1 0 0 X 0 X X 0 1 X 1 0 X 1
3 0 0 1 1 0 X 1 X X 1 X 1 1 1 X 0
4 0 1 0 0 0 X X 0 0 X 1 X
5 0 1 0 0 0 X X 0 1 X X 1
6 0 1 1 1 0 X X 0 X 0 1 X
7 0 1 1 0 1 X X 1 X 1 X 1
8 1 0 0 1 X 0 0 X 0 X 1 X
9 1 0 0 0 X 0 0 X 1 X X 1
10 1 0 1 1 X 0 0 X X 0 1 X
11 1 0 1 0 X 0 1 X X 1 X 1
12 1 1 0 1 X 0 X 0 0 X 1 X
13 1 1 0 0 X 0 X 0 1 X X 1
14 1 1 1 1 X 0 X 0 X 0 1 X
15 1 1 1 0 X 1 X 1 X 1 X 1

QB QB
QA QA
Q DQ C 00 01 10 11 Q DQ C 00 01 10 11
00 0 0 0 0 00 X X X X
01 0 0 1 0 01 X X X X
10 X X X X 10 0 0 1 0
11 X X X X 11 0 0 0 0

JD=QC.QB.QA KD=QC.QB.QA

QB QB
QA QA
Q DQ C 00 01 10 11 Q DQ C 00 01 10 11
00 0 0 1 0 00 X X X X

01 X X X X 01 0 0 1 0

10 X X X X 10 0 0 1 0

11 0 0 1 0 11 X X X X

JC=QB.QA

QB QB
QA QA
Q DQ C 00 01 10 11 Q DQ C 00 01 10 11
00 0 1 X X 00 X X 1 0

01 0 1 X X 01 X X 1 0

10 0 1 X X 10 X X 1 0

11 0 1 X X 11 X X 1 0
JB=QA

QB QB
QA QA
Q DQ C 00 01 10 11 Q DQ C 00 01 10 11
00 1 X 1 X 00 X 1 X 1

01 1 X 1 X 01 X 1 X 1
JA=1
10 1 X 1 X 10 X 1 X 1

11 1 X 1 X 11 X 1 X 1

Entonces el diseo del circuito ser el siguiente:


Con las salidas QA, QB, QC, QD; con QD como el MSB.
Contador decimal
Disee un contador BCD sincrnico que cuente de 0000 a 1001. Use dos CI 74LS76 y un
CI 74LS08. Verifique que la sucesin de conteo sea la correcta. Determine si el contador
se reinicia automticamente. Esto se hace iniciando el circuito con cada uno de los seis
estados no utilizados mediante las entradas de set y reset. Si el contador se reinicia
automticamente, la aplicacin de pulsos deber transferir el contador a uno de los
estados validos.
4) Uso del 74LS161
Contador binario con carga paralela
El CI 74LS161 es un contador binario sincrnico de 4 bits con carga paralela y despeje
asincrnico. Verificar el funcionamiento del CI 74LS161 de acuerdo a la tabla de funcin.
(Fig. 8).
El circuito funciona correctamente.
Muestre como puede hacerse que el CI 74161, junto con una compuerta NAND de dos
entradas opere como contador BCD sincrnico que cuente de 0000 a 1001. No utilizar la
entrada de reset. Utilice la compuerta NAND para detectar la cuenta 1001, lo que har que
se carguen ceros en el contador.
Utilizamos la puerta NAND en las salidas Q 1 y Q3, ya que cuando la salida pase de 1001(9)
a 0101(10) la salida del NAND pasara al estado bajo activando la entrada CLEAR del
74LS161 volviendo a cero todas las salidas.

U2A
VCC
5V
J2 74LS00N
LED1
1 0 R1
9 8 0
Key = Space U1
VCC 120
3 A QA 14 LED6
4 B QB 13
5
136
C
D
QC
QD
12
11 10 R6 5 0
7 ENP RCO 15 120 LED7
10 ENT
11 R7 6 0
9 ~LOAD
1 ~CLR
120
2 CLK LED8
12 R8 7 0
74LS161N
120

5) Uso del 74LS193


- Verificar el funcionamiento del CI 74LS193 (utilizar el mdulo EB-205).
a) Comprobar la cuenta ascendente y descendente.
VCC
5V
LED1
J2 R1 8 0
120
LED6
Key = Space 9 R6 5 0
U1
120 LED7
15 A QA 3
17 1 B QB 2 10 R7
10 C QC 6 11 6 0
9 D QD 7 12
120
11 ~LOAD ~BO 13 LED8
14 CLR ~CO 12
R8 7 0
5 UP
VCC 4 DOWN
0 120
74LS193N 14

15
LED2
R2 16
120 LED3
R3 13 0
120
Observamos que para el estado mximo (1111) la salida CARRY OUT pasa a nivel bajo.
VCC
5V
LED1
J2 R1 8 0
120
LED6
Key = Space 9 R6 5 0
VCC U1
120 LED7
15 A QA 3
1 1 B QB 2 10 R7
10 C QC 6 11 6 0
9 D QD 7 12
120
11 ~LOAD ~BO 13 LED8
14 CLR ~CO 12
R8 7 0
5 UP
4 DOWN
0 120
74LS193N 14

15
LED2
R2 16
120 LED3
R3 2 0
120
Observamos que para el estado mnimo (0000) la salida BORROW OUT pasa a nivel
bajo.
b) Verificar la carga paralelo del contador.

VCC
5V
LED1
J2 R1 8 0
120
LED6
Key = Space 9 R6 5 0
U1
J1 120 LED7
13 15 A QA 3
VCC 4 1 B QB 2 10 R7
3 10 C QC 6 11 6 0
1 9 D QD 7 12
120
0 11 ~LOAD ~BO 13 LED8
14 CLR ~CO 12
R8 7 0
5 UP
4 DOWN
120
74LS193N

Observamos que la salida es igual a la entrada en cada pulso de Clock.


c) Modificar para que la cuenta sea de 0 a 8
Si queremos que la cuenta sea de 0 a 8 entonces debemos implementar un circuito
combinacional para que cuando la salida sea 1001 (9) se active la entrada CLEAR.
=
d) Cargar en paralelo el nmero 6, inmediatamente despus de identificar el nmero 4.
Proseguir la cuenta de 6 a 7. Resetear el contador en el octavo pulso, sin generar
/CARRY.
Implementamos un circuito combinacional para que cuando la salida sea 0101 (5) se
active la entrada LOAD y cargue el numero 6 escrito en las entradas, y cuando la salida
sea 1000 (8) se active la entrada CLEAR y se reinicie el contador.
= =
U11A 12
14 U3

74LS08N U10A
U4A 8 74LS15D
VCC 13 U2A
74LS15D DCD_HEX
5V
74LS08N 10 9 11
6 2
U7A U8A U9A
U13A 74LS04N
74LS04N
74LS04N
74LS04N U6A U5A
U1 74LS04N
74LS04N
15 A QA 3 3
VCC 1 B QB 2 4
10 C QC 6 5
9 D QD 7 16
7 11 ~LOAD ~BO 13
XFG1 0 14 CLR ~CO 12
1 5 UP
4 DOWN

74LS193N

e) Verificar la utilidad de los decodificadores y del display.


La utilidad de estos componentes ya ha sido demostrada a lo largo del desarrollo del
presente informe.

U1
7 A OA 13
1 12 CK
B OB
2 C OC 11
6 D OD 10
OE 9
3 ~LT OF 15 U2
5 ~RBI OG 14
4 ~BI/RBO
A B C D E F G

74LS47N
6) Disear un sistema que para cada nueve pulsos de entrada de reloj exista en la salida un
pulso. Solucin: Para esto el contador debe pasar por nueve estados, la salida se obtiene
haciendo una AND con el reloj con un circuito que detecte los nueve estados.
VCC
5V

U1
3 A QA 14
4 B QB 13
5 C QC 12 U2A
6 D QD 11 2
5
7 ENP RCO 15 R8
VCC
10 ENT
U3A 74LS08N 120
9 ~LOAD
3 1 ~CLR 4
2 CLK
74LS04N
LED8
74LS163N
0
XFG1
1

Para este circuito cada 9 pulsos la salida permanece en el nivel bajo durante otro nueve
pulsos debido a la conexin de la salida QD hacia el CLEAR.

2. Los contadores tienen su cuenta mxima definida por el nmero de FF que tienen. Qu
sucede cuando se desea llegar a una cuenta menor a sta? Cmo se implementaran los
circuitos? Muestre ejemplos especficos de contadores de mdulo-n.
Para llegar a una cuenta mxima inferior a la definida por el contador hay que utilizar un
circuito combinacional que active la entrada de CLEAR en el valor requerido.
Si queremos implementar un circuito de modulo n el circuito contara hasta n-1 de la siguiente
manera.
0, 1, 2, 3,, n-1.
Entonces cuando pase a n se debe activar la entrada CLEAR.

Ejemplo:
Implementamos un contador de modulo 6 con 74LS160 (CLEAR se activa en bajo):
Clock QD QC QB QA CLEAR
0 0 0 0 0 1
1 0 0 0 1 1
2 0 0 1 0 1
3 0 0 1 1 1
4 0 1 0 0 1
5 0 1 0 1 1
6 0 1 1 0 0

=
3. Qu operacin se efecta en el contador ascendente-descendente de la prctica cuando
ambas entradas, arriba y abajo, estn habilitadas? Qu modificacin podra hacerse de
modo que cuando ambas entradas sean 1, el contador no cambie de estado, sino que
permanezca en la misma cuenta?
Al habilita ambas entradas la salida ser permanentemente 1111.
VCC
5V

U1 LED1
7 R1 0
15 A QA 3 1
1 B QB 2 9
0 10 C QC 6 8 120 LED2
9 D QD 7
R2 0
11 ~LOAD ~BO 13 2
VCC 14 CLR ~CO 12
120 LED3
5 UP
4 DOWN 5 R3
3 0
74LS193N 120 LED4
R4 4 0
120

Para que permanezca en el mismo estado podemos activar la entrada de carga LOAD
colocando el PIN a tierra y el valor que se desea en las entradas A, B, C y D de la siguiente
manera:
VCC
5V

U1 LED1
7 R1 0
15 A QA 3 1
1 B QB 2 9
VCC 10 C QC 6 8 120 LED2
0 9 D QD 7
R2 0
11 ~LOAD ~BO 13 2
14 CLR ~CO 12
120 LED3
5 UP
4 DOWN 5 R3
3 0
74LS193N 120 LED4
R4 4 0
120

4. Disear un contador binario sincrnico de 4 bits con flip flops tipo D.

Estado Q4 Q3 Q2 Q1 D4 D3 D2 D1
0 0 0 0 0 0 0 0 1
1 0 0 0 1 0 0 1 0
2 0 0 1 0 0 0 1 1
3 0 0 1 1 0 1 0 0
4 0 1 0 0 0 1 0 1
5 0 1 0 1 0 1 1 0
6 0 1 1 0 0 1 1 1
7 0 1 1 1 1 0 0 0
8 1 0 0 0 1 0 0 1
9 1 0 0 1 1 0 1 0
10 1 0 1 0 1 0 1 1
11 1 0 1 1 1 1 0 0
12 1 1 0 0 1 1 0 1
13 1 1 0 1 1 1 1 0
14 1 1 1 0 1 1 1 1
15 1 1 1 1 0 0 0 0

Hallando las ecuaciones para cada entrada de los flip flops tipo D, en funcin a las salidas,
usamos el mapa de Karnaugh y llegamos a las siguientes ecuaciones:
D4 = Q4.Q3.Q2.Q1 + Q4.Q3 + Q4.Q2 + Q4.Q1
D3 = Q3.Q2*Q1 + Q3.Q2 + Q3.Q1
D2 = Q2.Q1 + Q2.Q1
D1 = Q 1
Reduciendo las ecuaciones:
D4 = Q4 (Q3.Q2.Q1)
D3 = Q3 (Q2.Q1)
D2 = Q 2 Q 1
D1 = Q 1
Implementando el circuito:

5. Disear con flip flops tipo T un contador que pase por la siguiente sucesin binaria repetida:
0-1-3-7-6-4-... Demuestre que si los estados binarios 010 y 101 se consideran condiciones de
indiferencia, el contador podra no funcionar correctamente. Encuentre una forma de corregir
el diseo.
Para esto utilizaremos un diagrama de estados:

() = 3 (+1) = 3
() = 2 (+1) = 2
() = 1 (+1) = 1

y Y3Y2Y1 S
A B 000
B D 001
C F 010
D H 011
E A 100
F C 101
G E 110
H G 111

y3y2 y1 Y3Y2Y1 S
000 001 000
001 011 001
010 101 010
011 111 011
100 000 100
101 010 101
110 100 110
111 110 111

Hallando los circuitos combinacionales para los Flip Flop tipo JK:
Salida:
1 = 1
2 = 2
3 = 3

Flip Flop 3 (T2):


0 1 0 1
0 1
00 0 1 00 1 0
00 0 0 01 1 0 01 1 0
01 1 1 11 1 0 11 0 1
11 0 0 10 0 1 10 0 1
10 1 1
2 = 2 1 1 = 3 1
3 = 3 2 2 = 2 1 1 = 3 1
3 = 3 2
Flip Flop 1 (T1):
Flip Flop 2 (T2):
Para evitar caer en el LOOP (010, 101), colocamos una resitencia pull up y un pulsador
conectado a todas las entradas CLEAR de los flip flops que reiniciara el contador.

6. Disear un sistema usando el shift register 74164 para producir una salida 1 cuando las
ltimas seis entradas han sido 1 y de lo contrario ser cero.
Clock Entrada QA QB QC QD QE QF QG QH S
0 0 0 0 0 0 0 0 0 0 0
1 1 1 0 0 0 0 0 0 0 0
2 1 1 1 0 0 0 0 0 0 0
3 1 1 1 1 0 0 0 0 0 0
4 1 1 1 1 1 0 0 0 0 0
5 1 1 1 1 1 1 0 0 0 0
6 1 1 1 1 1 1 1 0 0 1

7. Disea un sistema, usando un contador 74161 que produzca un 1 en la salida cuando la


entrada ha sido 1 al menos 12 pulsos consecutivos.
Se pide que se implemente un circuito en el que se obtenga una seal de salida de 1 para
ciertos estados del contador, representando grficamente lo que se pide:

Para implementar un circuito que nos d una seal de salida como la que se pide se sigue el
siguiente el anlisis de bloques:

Ahora como esta salida S depende de las seales de salida del contador, entonces se puede
hallar la seal S haciendo un anlisis de estados:
Estado QD QC QB QA S
0 0 0 0 0 0
1 0 0 0 1 1
2 0 0 1 0 1
3 0 0 1 1 1
4 0 1 0 0 1
5 0 1 0 1 1
6 0 1 1 0 1
7 0 1 1 1 1
8 1 0 0 0 1
9 1 0 0 1 1
10 1 0 1 0 1
11 1 0 1 1 1
12 1 1 0 0 1
13 1 1 0 1 1
14 1 1 1 0 0
15 1 1 1 1 0

QA 0 1 1 0
QB 0 0 1 1
QD QC
0 0 0 1 1 1
II
0 1 1 1 1 1
1 1 1 1 0 0
1 0 1 1 1 1

S = QD*QB + QC*QB + QB*QA + QD*QC


Ahora implementando el circuito nos queda de la siguiente manera:

IV. Conclusiones
Un contador digital es constituido exactamente en igual forma que un divisor de frecuencia.
el circuito divisor-por-diez es en el fondo un contador, porque cuenta hasta diez pulsos y da
una salida; se repone y queda listo para repetir el procedimiento de nuevo.
Los contadores digitales son un medio muy prctico para determinar FRECUENCIA, si la
entrada del contador es "abierta" a una seal de frecuencia desconocida, durante un tiempo
exactamente controlado (recordemos que "frecuencia" es la cantidad de ciclos que
transcurren durante un segundo de tiempo).
Los contadores pueden tener una variedad de controles de entrada. Un contador tpico, por
ejemplo, se puede programar para que cuente hacia arriba o hacia abajo (Up/Down). Puede
tambin tener entradas de control para regresar la cuenta a 0's, iniciar la cuenta en cualquier
valor deseado, o para indicar los momentos en los cuales el contador debe trabajar.
la salida de los contadores pueden ser mejor apreciadas utilizando los decodificadores y los
Displays.

V. BIBLIOGRAFIA
Sistemas Digitales Principios y Aplicaciones - Ronald J. Tocci
http://www.electronica-basica.com/7490.html
http://ladelec.com/teoria/electronica-digital/204-circuitos-integrados-contadores-ttl-y-cmos
http://wsdetcp.upct.es/Personal/JZapata/labelec06.pdf
http://meteo.ieec.uned.es/www_Usumeteog/comp_sec_contadores_sincronos.html
http://ladelec.com/teoria/electronica-digital/205-division-de-frecuencia-el-reloj-digital

II

Potrebbero piacerti anche