Sei sulla pagina 1di 21

INSTITUTO TECNOLGICO SUPERIOR DE HUICHAPAN.

Integrantes:

Uribe Meja Ana Karen.

Materia:
Electrnica Digital.
Profesor:
Dr. Benigno Muoz Barrn.
Carrera:
Ingeniera Mecatrnica.
Fecha de entrega:
04/11/2015
Contenido
ndice de Figuras ................................................................................................................................. 2
Lgica combinacional ...................................................................................................................... 3
Puertas lgicas elementales ....................................................................................................... 3
Codificacin ................................................................................................................................... 4
Decodificador ................................................................................................................................ 4
Multiplexor ......................................................................................................................................... 5
Demultiplexores ................................................................................................................................ 6
Qu son los FPGAs? ..................................................................................................................... 6
Descripcin de la prctica ............................................................................................................... 8
Pasos a realizar. ............................................................................................................................... 9
Evidencia fotogrfica ......................................................................................................................... 16

ndice de Figuras
Ilustracin 1. Funciones lgicas elementales ...................................................................................... 4
Ilustracin 2. Representacin de un multiplexor ................................................................................ 5
Ilustracin 3. Demultiplexor ................................................................................................................ 6
Ilustracin 4. Estructura general de una FPGA ................................................................................... 7
Ilustracin 5. Tipos de FPGAs .............................................................................................................. 8
Ilustracin 6. New Project ................................................................................................................... 9
Ilustracin 7. Abrir un nuevo proyecto ............................................................................................. 10
Ilustracin 8. Caractersticas de la FPGA ........................................................................................... 10
Ilustracin 9. Comenzar el Cdigo..................................................................................................... 11
Ilustracin 10. Synthesize.................................................................................................................. 12
Ilustracin 11. Synthesize-2 .............................................................................................................. 13
Ilustracin 12. PlanAhead ................................................................................................................. 13
Ilustracin 13. ucf .............................................................................................................................. 13
Ilustracin 14. Asignacin de Entradas y Salidas............................................................................... 14
Ilustracin 15. Compilar .................................................................................................................... 14
Ilustracin 16. Compilar-2 ................................................................................................................. 15
Ilustracin 17. Digilent Adept ............................................................................................................ 15
Ilustracin 18. Cargando el cdigo .................................................................................................... 16
Lgica combinacional
El control digital, y en particular el binario, est presente en todos los campos de la
vida, desde los sistemas de refrigeracin hasta los complejos sistemas de control
de vuelo.
Se denomina lgica combinacional a todo sistema digital en el que sus salidas son
funciones exclusivas del valor de sus entradas en un momento dado, sin que
intervengan en ningn caso estados anteriores de las entradas o de las salidas.
Los elementos que constituyen los circuitos digitales se caracterizan por admitir slo
dos estados. Es el caso por ejemplo de un conmutador que slo puede estar
ENCENDIDO o APAGADO, o una vlvula hidrulica que slo pueda estar ABIERTA
o CERRADA. Para representar estos dos estados se usan los smbolos 0 y 1.
Generalmente, el 1 se asociar al estado de conmutador CERRADO,
ENCENDIDO, VERDADERO, y el 0 se asocia al estado de conmutador ABIERTO,
APAGADO o FALSO.
La funcin lgica es aquella que relaciona las entradas y salidas de un circuito
lgico.
Puede expresarse mediante:
Tabla de verdad: Es ella se representan a la izquierda todos los estados
posibles de las entradas (en el ejemplo, el estado del conmutador) y a la
derecha los estados correspondientes a la salida (en el ejemplo, la lmpara).
Funcin booleana: Es una expresin matemtica que emplea los
operadores booleanos (en el ejemplo, L = S).
Puertas lgicas elementales
Una puerta lgica es un elemento que toma una o ms seales binarias de entrada
y produce una salida binaria funcin de estas entradas. Cada puerta lgica se
representa mediante un smbolo lgico. Hay tres tipos elementales de puertas: AND,
OR y NOT. A partir de ellas se pueden construir otras ms complejas, como las
puertas: NAND, NOR y XOR.
Ilustracin 1. Funciones lgicas elementales

Las puertas bsicas pueden combinarse para formar circuitos lgicos ms


complejos que realicen muchas operaciones tiles. Algunas de las funciones lgicas
combinacionales ms comunes son: comparacin, aritmtica, conversin de
cdigos, codificacin, decodificacin y seleccin de datos.
Codificacin
Se implementa mediante un circuito denominado codificador, que convierte la
informacin, como por ejemplo un nmero decimal, en algn tipo de cdigo, como
el cdigo binario o BCD.
Decodificador
Un decodificador es un circuito lgico con entradas y 2 salidas como mximo, tal
que para cada combinacin de entradas se activa al menos una salida. Si slo se
activa una salida se denomina decodificador completo.
Los decodificadores pueden dividirse en diferentes tipos:
Excitadores (drivers), que controlan algn dispositivo.
No excitadores, los que no se usan para dicho fin.
Tanto las entradas como las salidas, principalmente estas ltimas, pueden ser:
Activas a nivel alto: la salida activa es 1 y la no activa 0.
Activas a nivel bajo: la salida activa es 0 y la no activa 1.
Adems el nmero de entradas de habilitacin puede ser de una o ms, y pueden
estar activas a nivel alto o bajo.
Podemos encontrar decodificadores de muy diversos tamaos:
De 2 a 4 lneas
De 3 a 8 lneas (bin a oct)
De 4 a 16 lneas (bin a hex)
Convertidores de cdigos: BCD/decimal y BCD/7-seg

Multiplexor
Es un circuito lgico que pasa los datos digitales procedentes de varias lneas de
entrada a una nica lnea de salida segn una secuencia de tiempos especifica.
Funcionalmente, un multiplexor puede representarse mediante una operacin de
conmutacin electrnica que conecta secuencialmente cada una de las lneas de
entrada a la lnea de salida.

Ilustracin 2. Representacin de un multiplexor

Un multiplexor consta de:


N entradas de datos (0 , 1 , 2 , 3 )
M entradas de seleccin (0 , 1 , 2 , 3 ) que cumplen con la formula =
2 (las entradas de seleccin son proporcionales a las entradas del
multiplexor)
Una nica salida ()
Un enable (multiplexor encendido o apagado)
Hay distintos tipos de multiplexores de 2, 4, 8, 16, entradas, de paralelo a serie.
Sus aplicaciones son muy variadas, los podemos encontrar en generadores de las
funciones lgicas, display multiplexor de 7 segmentos, etc.
Existen los multiplexores de interruptores electrnicos, que su principal diferencia
est en que el selector lo tienen elctrico, es decir, que va dando impulsos
dependiendo del nivel lgico que hay en el terminal de control.
Un multiplexor sea cual sea el nmero de entradas tendrn aproximadamente el
mismo tipo de tabla pero con ms variables de entrada, mas variables de selector
pero no de salida, como mximo tendr una salida normal y otra con la misma
negada.

Demultiplexores
Una de las aplicaciones ms caractersticas de los decodificadores es su
transformacin en los circuitos digitales denominados demultiplexores. Un
decodificador se convierte en un demultiplexor aadindole una seal ms a su
circuitera interna.
Un demultiplexor es un circuito que pasa los datos digitales procedentes de una
lnea de entrada a varias lneas de salida segn una determinada secuencia de
tiempo. Sirven para dirigir la informacin digital procedente de diversas fuentes a
una nica lnea para ser transmitida a travs de dicha lnea a un destino comn, es
decir, que pueden servir para comunicarnos va serie.
El demultiplexor es un circuito destinado a transmitir una seal binaria a una
determinada lnea, elegida mediante un seleccionador, de entre las diversas lneas
existentes, es decir, es un circuito que constar de 1 entrada de datos, entradas
de seleccin de salida, y 2 salidas.

Ilustracin 3. Demultiplexor

Qu son los FPGAs?


Matriz de bloques lgicos configurables (CLB) y una matriz de interconexin. Los
bloques lgicos contienen memoria para configuracin del bloque, usan LUT que
implementa lgica combinacional, flip-flops y multiplexores a la entrada y salida del
bloque lgico.
Hay otros bloques dedicados para las entradas y salidas del FPGA llamados IOB.
Los elementos bsicos constituyentes de una FPGA como las de Xilinx se pueden
ver en la ilustracin 4 y son los siguientes:
Bloques lgicos, cuya estructura y contenido se denomina arquitectura. Hay
muchos tipos de arquitecturas, que varan principalmente en complejidad
(desde una simple puerta hasta mdulos ms complejos o estructuras tipo
PLD). Suelen incluir biestables para facilitar la implementacin de circuitos
secuenciales. Otros mdulos de importancia son los bloques de
Entrada/Salida,
Recursos de interconexin, cuya estructura y contenido se denomina
arquitectura de rutado.
Memoria RAM, que se carga durante el RESET para configurar bloques y
conectarlos.

Ilustracin 4. Estructura general de una FPGA

Entre las numerosas ventajas que proporciona el uso de FPGAs dos destacan
principalmente: el bajo coste de prototipado y el corto tiempo de produccin. No todo
son ventajas. Entre los inconvenientes de su utilizacin estn su baja velocidad de
operacin y baja densidad lgica (poca lgica implementable en un solo chip). Su
baja velocidad se debe a los retardos introducidos por los conmutadores y las largas
pistas de conexin.
Por supuesto, no todas las FPGA son iguales. Dependiendo del fabricante nos
podemos encontrar con diferentes soluciones. Las FPGAs que existen en la
actualidad en el mercado se pueden clasicar como pertenecientes a cuatro grandes
familias, dependiendo de la estructura que adoptan los bloques lgicos que tengan
definidos. Las cuatro estructuras se pueden ver en la ilustracin 5, sin que
aparezcan en la misma los bloques de entrada/salida.
Matriz simtrica, como son las de XILINX
Basada en canales, ACTEL
Mar de puertas, ORCA
PLD jerrquica, ALTERA o CPLDs de XILINX.
Ilustracin 5. Tipos de FPGAs

Descripcin de la prctica

Mediante el uso
de VHDL, se realiza
una descripcin de
hardware.

Al terminar el
cdigo, compilar y
La descripcion consta de un despus asignar
cdigo que muestra el nombre y las entradas y
primer apellido del usuario. salidas que se
obtendrn de una
FPGA.

Por ultimo al
verificar que el
cdigo no tenga
errores, cargar el
programa a la
FPGA.
Pasos a realizar.
1. Ejecutar el programa como administrador.

2. Al abrir el programa, en la parte superior izquierda seleccionar File y para comenzar a


trabajar dar click en New Project.

Ilustracin 6. New Project


3. Llenar los campos que se solicitan, como lo es Nombre del proyecto, seleccionar el tipo y
caractersticas de la FPGA a utilizar y por ultimo dar click en finalizar.

Ilustracin 7. Abrir un nuevo proyecto

Ilustracin 8. Caractersticas de la FPGA


4. Dar click derecho en la parte izquierda donde aparece el nuevo proyecto y seleccionar New
Source, para comenzar el cdigo.

Ilustracin 9. Comenzar el Cdigo

5. De acuerdo a las reglas de descripcin de hardware, comenzar a realizar el cdigo de


acuerdo a lo que se requiera. El cdigo realizado fue el siguiente:

entity BCD_7SEG is

port(

BCD: in std_logic_vector(3 downto 0);

AN: out std_logic_vector(3 downto 0);

s7: out std_logic_vector(6 downto 0)

);

end BCD_7SEG;

architecture BCD_7SEG of BCD_7SEG is

begin

AN <= "1011";

process(BCD)

begin

case BCD is
when "0000" => s7 <= "1000110";

when "0001" => s7 <= "0001000";

when "0010" => s7 <= "1001110";

when "0011" => s7 <= "0000110";

when "0100" => s7 <= "1001000";

when "0101" => s7 <= "1000001";

when "0110" => s7 <= "1001110";

when "0111" => s7 <= "1001111";

when "1000" => s7 <= "0000011";

when OTHERS => s7 <= "0000110";

end case;

end process;

end BCD_7SEG;

El cdigo anterior tiene como finalidad mostrar en una FPGA el nombre y primer apellido del
usuario.

6. Al terminar el cdigo, en la parte izquierda dar doble click en Synthesize y esperar a que
termine para comprobar que no hay errores.

Ilustracin 10. Synthesize


Ilustracin 11. Synthesize-2

7. En la misma barra de herramientas que en el anterior paso desplegar User Constrain y dar
doble click en PlanAhead y verifica que se cree el ucf.

Ilustracin 12. PlanAhead

Ilustracin 13. ucf


8. Dar doble click sobre el ucf, para que nos despliegue la pantalla donde se introducen las
entradas y salidas, esto se realiza de acuerdo a la FPGA, los nombre asignados en la FPGA
deben coincidir con los introducidos.

Ilustracin 14. Asignacin de Entradas y Salidas

9. Regresar a donde se tiene el cdigo y en la parte izquierda dar doble click sobre Generate
Programming File y espera a que termine y no marque errores.

Ilustracin 15. Compilar


Ilustracin 16. Compilar-2

10. Al ver que el cdigo no presenta errores, se procede a programar, con ayuda del programa
Digilent Adept, el cual nos permite seleccionar la tarjeta y buscar el cdigo, siempre se
selecciona l .bit, al haber seleccionado ya el archivo se da click en Program y
automticamente el cdigo se descarga a la FPGA.

Ilustracin 17. Digilent Adept


Ilustracin 18. Cargando el cdigo

Evidencia fotogrfica
Al cargar el cdigo a la FPGA realiza lo siguiente:

Ilustracin 19. Letra C


Ilustracin 20. Letra A

Ilustracin 21. Letra r


Ilustracin 22. Letra E

Ilustracin 23. Letra n


Ilustracin 24. Letra U

Ilustracin 25. Letra R


Ilustracin 26. Letra I

Ilustracin 27. Letra b


Ilustracin 28. Letra E

Potrebbero piacerti anche