Sei sulla pagina 1di 18

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD

SISTEMAS DIGITALES SECUENCIALES

GRUPO 90178_1

PASO 2: DISEAR EL CIRCUITO COMBINACIONAL Y SECUENCIAL.

POR

RAFAEL HERNN CRUZ BOCANEGRA COD: 86073951

JAIDER ARIEL GARCIA

REINSON LUNA BUSTACARA COD. 1134854274


JOHN ANGARITA PIRAJAN

TUTOR

JAMES HERNAN BETANCOURT ROMO

CEAD CARTAGENA

ABRIL 2017
INTRODUCCION

La electrnica digital en la actualidad mueve el mundo entero, es una de las ramas de la


ciencia que ms rpido evoluciona, y la de mayor importancia, todo gracias a que sus
aplicaciones son mltiples e innumerables en la industria, la medicina y en casi todo lo que
nos rodea a diario, la sociedad cada vez ms depende de los computadores y las
comunicaciones electrnicas, temas en los que la electrnica digital tiene gran impacto.
Debido a esta gran importancia que tiene la electrnica digital, es crucial para todo
ingeniero electrnico hoy da tener fuertes bases en circuitera digital. En el siguiente
trabajo se harn unas aplicaciones de circuitera combinacional y secuencial, lo que ayudara
a que los participantes interioricen los conocimientos adquiridos hasta el momento en estos
temas. El presente trabajo est basado en el proyecto de un pequeo carro robot, utilizando
los conceptos de lgica combinacional y como muestra de lo ya aprendido en la unidad 1
del presente curso.
OBJETIVOS

Implementar los conceptos aprendidos en la unidad uno del curso sistemas digitales
secuenciales.

Desarrollar un circuito combinacional para la elaboracin de un carro evita


obstculos.

Implementacin en software o fsico del proyecto.

Conocer y aprender a manejar las diferentes compuertas y sistemas


combinacionales.

Aplicar la Temtica bsica de registros de Sistemas Digitales Secuenciales para la

Disear el circuito para el funcionamiento del carro.


PALABRAS CLAVES

Compuerta: dispositivo electrnico el cual es la expresin fsica de un operador


booleano en la lgica de conmutacin. Cada puerta lgica consiste en una red de
dispositivos interruptores que cumple las condiciones booleanas para el operador
particular. Son esencialmente circuito de conmutacin integrados en un chip.

Circuito: es una red elctrica (interconexin de dos o ms componentes, tales como


resistencias, inductores, condensadores, fuentes, interruptores y semiconductores)
que contiene al menos una trayectoria cerrada.

Resistencia: La resistencia elctrica de un objeto es una medida de su oposicin al


paso de corriente. Descubierta por George Ohm en 1827, la resistencia elctrica
tiene un parecido conceptual a la friccin en la fsica mecnica. La unidad de la
resistencia en el Sistema Internacional de Unidades es el ohmio ().

Voltaje: La tensin elctrica o diferencia de potencial (tambin denominada voltaje)


es una magnitud fsica que cuantifica la diferencia de potencial elctrico entre dos
puntos.
DESCRIPCION DEL PROBLEMA

Usando una circuitera combinacional y circuitos secuenciales, se debe disear un pequeo


vehculo impulsado por dos motores DC. El carro contar con dos sensores en la parte
frontal que servirn para detectar el impacto del carro con un obstculo. Una vez que uno
de los sensores haya detectado el impacto del carro, ste deber retroceder por un tiempo de
tres (3) segundos y reiniciar su marcha hacia adelante, este tiempo debe ser controlado
usando un temporizador 555 en modo monoestable.
SOLUCIN AL PROBLEMA PLANTEADO

DECLARACIN DE LAS VARIABLES

De acuerdo a las seales capturadas por los sensores (switches NA), el carro
evasor tendr la capacidad de moverse libremente hacia adelante o cambiar sus
movimientos para evadir el obstculo. Los sensores son los encargados de detectar
los obstculos.

Las seales de entrada a cargo de los sensores son las variables lgicas de entrada
y la seal de salida se ver reflejada en el movimiento de los motores que a su vez
generan el movimiento general del carro evasor.

Las seales de entrada y de salida son continuas y tienen un carcter binario, es


decir que el 1 lgico indica 5 voltios DC y 0 lgico indican cero (0) voltios DC.

Los micro switches estn conectados a 0 lgico en su estado normal, es decir


cuando no hay obstculos y se mueve hacia adelante. Cuando alguno o los dos
sensores detectan la presencia de un obstculo, el sensor se conecta a 1 lgico

las seales de los switches tendrn incidencia en las entradas del flip-flop D (CLCK
y D) que a su vez en las salidas (Q y Q+) del mismo tendr las respuesta en los
motores.

La seal de salida se ver reflejada en el movimiento de los motores que a su vez


generan el movimiento general del carro evasor, de la siguiente manera:

Si hay deteccin del obstculo:

1. El carro evasor para.

2. Encamina su movimiento hacia atrs por un tiempo de 3 segundos

3. Cambia su direccin hacia la derecha o hacia la izquierda.

4. Va hacia adelante nuevamente.


Si no hay deteccin del obstculo, el carro evasor continua su movimiento hacia
adelante.

Las variables sern:

Sensores Flip-Flop (D) Motores


Entradas Entradas salidas Salidas
(S1) Der D Q (M1) Der
(S2) Izq CLCK Q+ (M2) Izq

TABLA DE VERDAD QUE MUESTRE LA RELACIN ENTRE LAS VARIABLES


DE ENTRADA Y SALIDA DEL SISTEMA, SI ES POSIBLE SIMPLIFICAR LA
FUNCIN USANDO MAPAS DE KARNAUGH.

La relacin de entrada y salida se muestra en la siguiente tabla de verdad, en la


entrada el cero 0 lgico indica que el sensores (switches NA) se encuentra sin
operar y el 1 lgico indica la operacin por medio del obstculo, estas seales de
los switches tendrn incidencia en las entradas del flip-flop D (CLCK y D) que a su
vez en las salidas del mismo tendr las respuesta en los motores, en las salidas el
0 indica que los motores estn en reposo (sin alimentacin) y el 1 indica que se
encuentran alimentados a 5 Vdc. El puente H solo tendr la funcin de cambio de
giro de los motores que se conecten a sus dos salidas de potencia, por lo cual no
fue tenido en cuenta para el desarrollo de la tabla de verdad.

TABLA DE VERDAD

ENTRADAS SALIDAS
Sensores
Flip-Flop (D) Motores
RESPUESTA
S1(Der) S2(Izq) CLCK D M1 M2
Q Q+
(Der) (Izq)
0 0 0 0 X X X X X
0 0 0 1 0 1 1 1 ADELANTE

0 0 1 0
X X X X X
0 0 1 1 X X X X X
0 1 0 0 X X X X X
0 1 0 1 X X X X X
0 1 1 0 1 0 1 0 IZQUIERDA

0 1 1 1 X X X X X
1 0 0 0 X X X X X
1 0 0 1 X X X X X
1 0 1 0 X X X X X
1 0 1 1 0 1 0 1 DERECHA

1 1 0 0 X X X X X
1 1 0 1 X X X X X
1 1 1 0 X X X X X
1 1 1 1 1 1 0 0 ATRAS

Los valores en la tabla que se representen con una X, se toman como salidas que
el circuito no generar. Estas condiciones las representaremos en los mapas de
Karnough con un (-).

A continuacin se muestra el desarrollo de las funciones por el mtodo de mapas


de Karnough en donde se analiza cada una de las condiciones de las entradas en
cada motor:

M1 = (~S1|S2|~CLCK|~D)&(~S1|~S2|~CLCK|~D)
M2 = (S1|~S2|~CLCK|D)&(~S1|~S2|~CLCK|~D)

Aplicando lo estudiado en la Unidad 1, del mdulo; para darle solucin al problema


utilizaremos circuitos combinacionales.

CIRCUITO GENERAL
IMAGEN DE COMPUERTAS UTILIZADAS

CIRCUITO IMPLEMENTADO DE TEMPORIZADOR


TEMPORIZADOR NE 555

El temporizador NE 555 es un circuito integrado (chip) que se utiliza en la generacin de


temporizadores, pulsos y oscilaciones. El 555 puede ser utilizado para proporcionar
retardos de tiempo, como un oscilador, y como un circuito integrado flip flop. Sus
derivados proporcionan hasta cuatro circuitos de sincronizacin en un solo paquete.

CALCULOS PARA LAS RESISTENCIAS A UTILIZAR:

Para un capacitor de 100 microfaradios, y un tiempo de tres segundos


T= 1.1 * R1*C1
R= 18.1K, en este caso la resistencia comercial que se ajusta a nuestro diseo es 20k

PUENTE H

Un Puente en H es un circuito electrnico que permite a un motor elctrico DC girar en


ambos sentidos, avance y retroceso. Son ampliamente usados en robtica y como
convertidores de potencia. Los puentes H estn disponibles como circuitos integrados, pero
tambin pueden construirse a partir de componentes discretos.

ESTRUCTURA DE UN PUENTE H (MARCADO EN ROJO).


DESCRIPCIN DE LOS ELEMENTOS

Interruptor Final de carrera (S1 S2):

Es un dispositivo elctrico mecnico, situado al final del recorrido de un


elemento mvil, en estado normal o reposo puede estar abierto N.O. (0)
o cerrado N.C. (1) segn su configuracin y entregar un estado contrario
al accionarse.

Circuito Integrado 555:

Circuito integrado utilizado para proporcionar retardos de tiempo, se puede implementar en dos
modos, Astable y Monoestable.

En modo Astable proporciona una seal de salida en forma de onda cuadrada. El ciclo de trabajo
de los estados altos y bajos y duracin de los tiempos de
cada uno de los estados se determina con las ecuaciones
siguientes:

= ln(2) (1 + 2)

= ln(2) 2

ln(2) (1 + 22)

En modo monoestable entrega un solo pulso con un ancho


establecido de acuerdo a la necesidad. La frmula para
determinar el tiempo de duracin del pulso es:

= ln(3)
En este caso se requiere que la seal de disparo sea de
nivel bajo y de muy corta duracin para iniciar la seal de
salida.

Biestable Flip Flop JK:


Es uno de los tipos de Flip Flop ms utilizados. Las caractersticas principales del Flip Flop tipo JK
son:

Cuando J=1 y K=0 al pasar de 1 a 0 en la terminal CLK tomara el estado Q=1,


independiente del estado en que se encontraba anteriormente.
Cuando J=0 y K=1 al pasar de 1 a 0 en la terminal CLK tomara el estado Q=0,
independiente del estado en que se encontraba anteriormente.
Cuando J=1 y K=1 al pasar de 1 a 0 en la terminal CLK nada ocurre y conservara el estado
posea anteriormente.
Cuando J=0 y K=0 al pasar de 1 a 0 en la terminal CLK tomara un estado opuesto al que se
encontraba anteriormente Q.
Tiene dos salidas Q y Q.

Circuito Integrado para Control de Motores L293D:

Este circuito integrado incluye 4 circuitos para manejar cargas de potencia media, con capacidad
de controlar corrientes hasta de 600mA en cada circuito y voltajes entre 4,5 a 36 V.

Con este integrado se puede realizar el manejo de dos motores con accin bidireccional, frenado
rpido y con posibilidad de implementar control de velocidad.

Motor de corriente directa:


Es una mquina que convierte la energa elctrica en mecnica,
provocando un movimiento rotatorio por efecto del campo
magntico. Este motor se alimenta de corriente directa y tiene la
particularidad que, al invertir la polaridad en la fuente, invierte su
sentido de giro.

Otros componentes

Adems, se utilizan componentes electrnicos tales como resistencias, resistencias variables


(potencimetros), condensadores y compuertas lgicas, las cuales sern definidas ms adelante.

A continuacin, realizamos el diseo de los temporizadores T1 y T2 para tiempos de 3s y 4s


respectivamente, para esto se utilizar un integrado 555 en modo monoestable.

Temporizador T1 (3 segundos).

Aplicamos la ecuacin para encontrar los valores de los elementos, en este caso, para cuestiones
de diseo, se utilizar un condensador con valor de 220uF. Aplicando la ecuacin se obtendr el
valor de la resistencia.

= ln(3)
Despejando R, tenemos:
3
= = = 12407 12.4
ln(3) 1.099 220 106
= 12.4
Temporizador T2 (4 segundos)

Utilizamos el mismo valor para el condensador 220uF.

= ln(3)
Despejando R, tenemos:
4
= = = 16544 16.5
ln(3) 1.099 220 106
= 16.5

Para los dos circuitos se utilizan resistencias variables o potencimetros.

Diseo del circuito combinacional


Se definen las entradas del circuito, en este, tenemos las salidas de los temporizadores 1 y 2 (T1 y
T2) y las salidas del Flip Flop JK (Q y Q).

Con las entradas y la lgica definida se realizan las tablas de verdad para cada motor y con ello
definir el circuito combinacional a usar.
ENLACE DE PRUEBA DEL CIRCUITO EN EL SOFTWARE LIVEWIRE (VIDEO)

https://www.youtube.com/watch?v=ErA2XoPaQWU&feature=youtu.be
CONCLUSIONES

La elaboracin del presente tranbajo nos deja conocimientos ricos con respecto a la
materia sistemas digitales secuenciales.

Se observa la importancia del simulador que nos permite hacer pruebas sin riesgos a
daos materiales ni humanos.

Los puentes H estn disponibles como circuitos integrados, pero tambin pueden
construirse a partir de componentes discretos

La utilizacin de compuertas combinacionales nos abren un mundo para la


elaboracin de diferentes circuitos electrnicos

El puente H es de suma importancia para hacer que el motor gire en sentido


contrario.

El NE 555 es de suma importancia y practicidad para la elaboracin de diferentes


circuitos.
REFERENCIAS BIBLIOGRFICAS

Modulo sistemas digitales secuenciales (2017). Recuperado de:


http://www.etnassoft.com/biblioteca/introduccion-a-los-sistemas-digitales/

Gua integrada de actividades (2017). Recuperado de:


http://campus26.unad.edu.co/ecbti17/pluginfile.php/1782/mod_resource/content/4/90178%
20-%20Guia%20integrada%20de%20actividades.pdf

www.datasheets.com. Recuperado de:

http://www.datasheets.com/search/index.jsp#partnumber=NE%20555=0=0

Potrebbero piacerti anche