Sei sulla pagina 1di 170

DISEO E IMPLEMENTACIN DEL MDULO DE POTENCIA PARA EL PICOSATLITE EXPERIMENTAL CUBESAT

UD COLOMBIA 1

JAVIER CASTRO AVELLANEDA

ALFREDO GRAJALES HENRQUEZ

UNIVERSIDAD DISTRITAL FRANCISCO JOS DE CALDAS


FACULTAD DE INGENIERA
PROYECTO CURRICULAR DE INGENIERA ELECTRNICA
GRUPO DE INVESTIGACIN EN TELEMEDICINA GITEM
BOGOT D.C., 2016
DISEO E IMPLEMENTACIN DEL MDULO DE POTENCIA PARA EL PICOSATLITE EXPERIMENTAL CUBESAT
UD COLOMBIA 1

JAVIER CASTRO AVELLANEDA

ALFREDO GRAJALES HENRQUEZ

TRABAJO DE GRADO PARA OPTAR POR EL TTULO DE INGENIERO


ELECTRNICO

DIRECTOR:
JORGE ENRIQUE SALAMANCA CSPEDES MSC.

UNIVERSIDAD DISTRITAL FRANCISCO JOS DE CALDAS


FACULTAD DE INGENIERA
PROYECTO CURRICULAR DE INGENIERA ELECTRNICA
GRUPO DE INVESTIGACIN EN TELEMEDICINA GITEM
BOGOT D.C., 2016
Nota de Aceptacin

Director del proyecto

Jurado 1

Jurado 2

Bogot D.C., junio de 2016


Dedicamos este trabajo
a nuestras familias
a nuestros profesores
a la Universidad Distrital Francisco Jos de Caldas
TABLA DE CONTENIDO

1 MDULO DE POTENCIA PARA EL PICOSATLITE EXPERIMENTAL CUBESAT UD COLOMBIA 1 .............1

1.1 INTRODUCCIN .......................................................................................................................1

1.1.1 Introduccin al Mdulo de Potencia .....................................................................................1


1.1.2 Introduccin al Proyecto CubeSat UD Colombia 1 ..............................................................2
1.2 PLANTEAMIENTO DEL PROBLEMA ..............................................................................................4

1.3 OBJETIVOS .............................................................................................................................5

1.3.1 Objetivo General ...................................................................................................................5


1.3.2 Objetivos Especficos ...........................................................................................................5
1.4 JUSTIFICACIN........................................................................................................................6

1.4.1 Justificacin del proyecto CubeSat UD Colombia 1 .............................................................6


1.4.2 Justificacin del Mdulo de Potencia ...................................................................................6
1.5 ALCANCES ..............................................................................................................................8

1.6 LIMITACIONES .........................................................................................................................8

2 GENERALIDADES ...............................................................................................................9
2.1 LA MISIN DEL PICOSATLITE EXPERIMENTAL CUBESAT UD COLOMBIA 1 ......................................9

2.2 ESTADO DEL ARTE...................................................................................................................9

2.2.1 Sistema de Potencia Fotovoltaica para Equipos Remotos, SPFV: Augusto Enrique
Chaves Garca ......................................................................................................................9
2.2.2 Anlisis con Metodologa RUP (Rational Unified Process) e Implementacin de un Diseo
de una Etapa de Potencia para Picosatlite de Tipo CubeSat: Andrs Alexander Rodrguez
Fonseca y Julieth Paola Rojas Durn. .............................................................................. 12
2.2.3 Estudio del Sistema de Celdas Fotovoltaicas para la Implementacin de los Paneles
Solares del CubeSat UD COLOMBIA 1, (Mdulo Paneles Solares): Clara M. Aguilar y
Andrs A. Amaya C. .......................................................................................................... 13
2.3 MARCO TERICO .................................................................................................................. 15
2.3.1 El Ambiente Espacial ......................................................................................................... 15
2.3.2 Descripcin del Sistema .................................................................................................... 19
2.4 ESPECIFICACIONES DE DISEO ESTNDAR CUBESAT .............................................................. 38
2.4.1 Caractersticas Bsicas del Estndar CubeSat Revisin 13 ............................................. 39
2.4.2 Requisitos Generales ........................................................................................................ 39
2.4.3 Requisitos Mecnicos ........................................................................................................ 39
2.4.4 Requisitos Elctricos ......................................................................................................... 40
2.4.5 Requisitos Operacionales .................................................................................................. 40
2.4.6 Requisitos de Pruebas....................................................................................................... 41
2.4.7 P-Pod: Poly-Picosatellite Orbital Deployer ........................................................................ 41

3 ANLISIS DEL SISTEMA DE GESTIN DE ENERGA ................................................... 42


3.1 SISTEMAS DE POTENCIA ELCTRICA EN SATLITES ................................................................. 42
3.2 ANLISIS Y SELECCIN DE COMPONENTES ............................................................................. 43
3.2.1 Reguladores en el Mdulo De Potencia: Convertidores DC-DC ....................................... 43
3.2.2 Disponibilidad Energtica. ................................................................................................. 44
3.2.3 Consumo de Energa ......................................................................................................... 48
3.2.4 Subsistema de Almacenamiento ....................................................................................... 49
3.2.5 Subsistema de Generacin y Acondicionamiento de la Energa ...................................... 59
3.2.6 Subsistema de Regulacin. ............................................................................................... 65
3.2.7 Subsistema de Distribucin y Proteccin. ......................................................................... 71
3.2.8 Esquema Final ................................................................................................................... 72

4 DISEO E IMPLEMENTACIN DE HARDWARE ............................................................ 73


4.1 ESPECIFICACIONES MECNICAS Y ELCTRICAS ...................................................................... 73
4.2 DISTRIBUCIN DE PINES ....................................................................................................... 76
4.3 ALTIUM DESIGNER ................................................................................................................ 77
4.4 TARJETAS DE EVALUACIN. .................................................................................................. 79
4.5 MDULO DE POTENCIA VERSIN 1 ......................................................................................... 84
4.6 SET DE BATERAS VERSIN 1................................................................................................. 88
4.7 EPS VERSION 3 .................................................................................................................... 89
4.7.1 Cambios al Mdulo de Potencia de la versin 1 a la versin 3 ......................................... 89
4.7.2 MODULO DE POTENCIA FINAL: VERSION 3 ................................................................. 96
4.8 SET DE BATERAS VERSIN 3............................................................................................... 100
4.8.1 Seales Presentes en el Set de Bateras ........................................................................ 100
4.8.2 Bateras y Calentadores de Bateras. .............................................................................. 100
4.8.3 Caractersticas de la PCB y el Set de Bateras. .............................................................. 100
4.8.4 Los Sensores en el Set de Bateras. ............................................................................... 102
5 DESARROLLO DE SOFTWARE ..................................................................................... 105
5.1 REQUERIMIENTOS DE LA EPS. ............................................................................................. 105
5.2 MODOS DE OPERACIN ...................................................................................................... 108
5.2.1 Despliegue y Recuperacin (S0) ..................................................................................... 108
5.2.2 Modo de Operacin Normal (S1) ..................................................................................... 109
5.2.3 Modo de Recarga (S2) .................................................................................................... 109
5.2.4 Registro de Estados de la EPS ....................................................................................... 109
5.3 I2C: INTER-INTEGRATED CIRCUIT ......................................................................................... 112

6 PRUEBAS Y RESULTADOS ........................................................................................... 114


6.1 TARJETAS DE EVALUACIN ................................................................................................. 114
6.2 PRUEBAS MDULO DE POTENCIA VERSIN 1 ........................................................................ 114
6.3 PRUEBAS FINALES MDULO DE POTENCIA VERSIN 3 ........................................................... 116
6.3.1 Pruebas Mecnicas ......................................................................................................... 116
6.3.2 Pruebas Elctricas ........................................................................................................... 118
6.3.3 Pruebas Software ............................................................................................................ 131

7 CONCLUSIONES FINALES ............................................................................................ 132

REFERENCIAS BIBLIOGRAFICAS 134

ANEXO 1 DOCUMENTOS ANEXOS EN FORMATO DIGITAL 137


ANEXO 2 SIGLAS, ACRNIMOS, ABREVIATURAS 138
ANEXO 3 LISTA RESUMIDA LISTA COMPONENTES MODULO DE POTENCIA 140
ANEXO 4 DISTRIBUCION PINES MODULO DE POTENCIA CONECTOR PC104 142
ANEXO 5 HOJA DE ESPECIFICACIONES CELDA SOLAR AZURSPACE 3G-28% 143
ANEXO 6 HOJA DE ESPECIFICACIONES PANELES SOLARES SEQUOIA SPACE 144
ANEXO 7 HOJA DE ESPECIFICACIONES BATERIAS DANIONICS 147
ANEXO 8 HOJA DE ESPECIFICACIONES CALENTADOR BATERIAS 150
ANEXO 9 CODIGO COMPUTACIONAL ON-OFF IC's MODULO DE POTENCIA 151
ANEXO 10 CODIGO COMPUTACIONAL LECTURA SENSORES TEMPERATURA 152
ANEXO 11 CODIGO COMPUTACIONAL LECTURA SENSORES INA219 154
ANEXO 12 LECTURA TODOS LOS SENSORES, TELEMETRIA 157
1 MDULO DE POTENCIA PARA EL PICOSATLITE EXPERIMENTAL CUBESAT UD COLOMBIA 1

1.1 INTRODUCCIN

1.1.1 Introduccin al Mdulo de Potencia

Los resultados del proyecto de investigacin contenidos en este documento tratan del diseo y la
implementacin de un Mdulo de Potencia funcional para el picosatlite experimental CubeSat UD
Colombia 11. El documento ser una referencia para trabajos futuros, o para el mejoramiento de este
sistema de potencia.

El Mdulo de Potencia, tambin conocido como Sistema de Potencia Elctrica o por sus siglas en
ingls EPS (Electric Power System) es uno de los subsistemas 2 que integran el picosatlite
experimental CubeSat UD Colombia 1 y es el encargado de proporcionar la energa elctrica
necesaria para el correcto funcionamiento del picosatlite en el espacio exterior.

La funcin del Mdulo de Potencia es acondicionar la energa proveniente de un sistema de paneles


solares y transferir esa energa para el suministro de potencia elctrica que requieren los diferentes
subsistemas del satlite, almacenando la energa sobrante en un sistema de almacenamiento
(bateras), haciendo gestin sobre dicha energa y previendo fallos o eventos al normal
funcionamiento del picosatlite.

El desarrollo del presente trabajo, parte de tres trabajos de grado previos desarrollados en el Grupo
de Investigaciones en Telemedicina (GITEM) en la Universidad Distrital Francisco Jos de Caldas.
El primero de ellos realizado por el ingeniero Augusto Enrique Chaves Garca en el cual hace un
estudio y plantea un primer diseo de un Mdulo de Potencia partiendo de un anlisis de los
requerimientos energticos y del consumo estimado para un picosatlite y proponiendo al final un
diseo para los diferentes bloques funcionales del Mdulo de Potencia. El segundo trabajo es la
implementacin y pruebas del diseo planteado por Chaves y que es llevado a cabo por los
ingenieros Andrs Alexander Rodrguez Fonseca y Julieth Paola Rojas Durn quienes adems
proporcionan un software para el clculo de disponibilidad energtica. Y el tercer trabajo es un
estudio de los paneles solares llevado a cabo por Clara Milena Aguilar Pirachicn y Andrs Augusto
Amaya Camargo, en donde proponen el prototipo de arreglo de celdas solares ms conveniente para
la misin; como resultado de este ltimo trabajo se implementaron los paneles solares finales.

El Mdulo de Potencia para el picosatlite experimental CubeSat UD Colombia 1 toma como


referencia otros picosatlites tipo CubeSat hechos en otros lugares en el mundo, y en el caso
colombiano, el picosatlite Libertad 1 enviado al espacio por la Universidad Sergio Arboleda3.

1 El picosatlite experimental CubeSat UD Colombia 1 es un proyecto de investigacin aeroespacial desarrollado en la Universidad Distrital Francisco Jos de Caldas
por el grupo de investigacin GITEM (Grupo de Investigacin en Telemedicina).
2 Los subsistemas de un satlite, tambin se les denomina simplemente sistemas o mdulos de un satlite. Los subsistemas o mdulos que dependen de la potencia
elctrica que les suministra la EPS tambin se les denomina USUARIOS.
3 El Libertad 1 es un satlite artificial construido por el programa espacial de la Universidad Sergio Arboleda. Fue lanzado en abril 17 de 2007, junto con otros 14
satlites, a bordo del cohete Dnepr-1 desde el Cosmdromo de Baikonur [Fuente: Wikipedia].

1
1.1.2 Introduccin al Proyecto CubeSat UD Colombia 1

El Mdulo de Potencia forma parte de un proyecto mayor: el picosatlite experimental CubeSat UD


Colombia 1, el cual es un proyecto desarrollado por el Grupo de Investigacin en Telemedicina
(GITEM) de la Universidad Distrital Francisco Jos de Caldas, y cuya misin es la de evaluar el
comportamiento de un sistema de Tele-cardiologa va satlite entre las ciudades de Bogot y Neiva
utilizando la Internet y las Comunicaciones Espaciales. La meta ideal del proyecto del picosatlite
experimental CubeSat UD Colombia 1 es el de su lanzamiento al espacio exterior y el xito en su
funcionamiento.

El picosatlite experimental CubeSat UD Colombia 1 se desarrolla a partir de un estndar para


picosatlites llamado CubeSat 4 , que es un estndar desarrollado por la Universidad Estatal
Politcnica de California, ubicada en la ciudad de San Luis Obispo y por el Laboratorio de Desarrollo
de Sistemas Espaciales de la Universidad de Stanford y est concebido para crear facilidades y
oportunidades de lanzamiento al espacio para las universidades que antes no podan acceder a este
tipo de tecnologas.

El estndar CubeSat est enfocado a picosatlites y limita el espacio fsico para una unidad bsica
denominada 1U, que es un cubo de 10 cm de arista y a un peso mximo de 1,33 kg5. La Unidad
bsica se puede escalar hasta 3.5U.

El proyecto del picosatlite experimental CubeSat UD Colombia 1 debido a la complejidad en su


desarrollo se fracciona en diferentes grupos de trabajo asignando a cada uno diferentes subsistemas
del picosatlite tanto para el segmento terrestre como para el segmento espacial.

A grandes rasgos los subsistemas que conforman el picosatlite se agrupan en dos segmentos, el
segmento espacial, y el segmento terrestre, y son:

Mdulo de Misin
Mdulo de Estacin Terrena
Mdulo de Datos y Control
Mdulo de Comunicaciones
Mdulo de Control de Actitud
Mdulo de Paneles Solares
Mdulo de Potencia
Mdulo de Integracin

El proyecto del picosatlite experimental CubeSat UD Colombia 1 le permitir a la Universidad


Distrital Francisco Jos de Caldas entrar en el sector aeroespacial contribuyendo acadmicamente
con su esfuerzo al desarrollo de aplicaciones espaciales en Colombia, formando la experticia de los
estudiantes en ese mbito y en proyectos tecnolgicos de punta, mediante el proceso de desarrollo

4 Para ms informacin referirse a la pgina oficial: http://www.cubesat.org/

5 Segn la ltima actualizacin a la norma en documentacin que se puede encontrar en http://www.cubesat.org/

2
de un satlite, dejando as una base de conocimientos para futuros grupos de investigaciones y de
aplicacin en Colombia.

Para el desarrollo del proyecto del picosatlite experimental CubeSat UD Colombia 1 se cuenta con
un kit bsico de trabajo de la empresa PUMPKIN INC6. Este kit proporciona la estructura, una tarjeta
de desarrollo y el mdulo de vuelo basado en un procesador de 16 bits. Este sistema utiliza un
estndar de bus similar al PC/104 que permite la conexin o integracin de los diferentes mdulos
entre s.

6 Para ms detalles del producto ver la pgina oficial de la empresa PUMPKIN INC: http://www.cubesatkit.com/

3
1.2 PLANTEAMIENTO DEL PROBLEMA

La radiacin solar es la fuente principal de energa en el espacio exterior. La mayora de los satlites
aprovechan esta energa inagotable y la usan para convertirla en otras formas de energa. As es
posible obtener energa elctrica a partir de la energa solar a travs de mdulos llamados paneles
solares. Los paneles solares transforman la energa solar en energa elctrica a travs de celdas
fotovoltaicas. La energa elctrica obtenida es suministrada entonces al Mdulo de Potencia que
debe asegurar el continuo suministro de la energa al satlite.

El Mdulo de Potencia debe acondicionar la energa elctrica entregada por los paneles solares,
transferirla y distribuirla a los diferentes subsistemas del satlite durante todo el tiempo de acuerdo
a las necesidades de consumo de los subsistemas. No todos los sistemas en un satlite trabajan
todo el tiempo, ni al mismo tiempo, por la tanto hay que gestionar, y administrar la energa para
optimizarla dentro de los lmites de tolerancia y niveles posibles para alargar la vida til del satlite.

Como los satlites generalmente se disean para evitar la falta de energa durante eclipses (esto
ocurre al obstruir La Tierra, la radiacin solar hacia el satlite) se requiere contar con energa
almacenada en bateras y as asegurar la continuidad del servicio, entonces el Mdulo de Potencia
debe ser capaz de seleccionar la fuente para el suministro de energa.

El Mdulo de Potencia debe asegurar los niveles de voltaje y corriente requeridos por los diferentes
mdulos del satlite hasta en los escenarios de operacin ms crticos y en las condiciones ms
adversas. Tambin generalmente estos subsistemas trabajan con potencia DC, y lo que se hace es
una conversin con reguladores DC/DC, reductores y/o elevadores.

Teniendo en cuenta que el espacio exterior es el medio en que trabaja el satlite. El Mdulo de
Potencia debe prevenir fallos y tomar decisiones si ocurren eventos crticos y por lo tanto la
tecnologa utilizada debe tener caractersticas especiales, diferentes a los elementos utilizados en
La Tierra. Por eso hay que investigar las tecnologas disponibles en el mercado.

Este proyecto desarrollara un mdulo funcional de suministro de potencia para el picosatlite


experimental CubeSat UD Colombia 1. Esto implica llevar a cabo el diseo y la implementacin de
un prototipo, su validacin y su implementacin final.

ES POSIBLE EL DISEO E IMPLEMENTACION DE UN MDULO DE POTENCIA PARA EL


PICOSATLITE EXPERIMENTAL CUBESAT UD COLOMBIA 1, QUE PERMITA ADAPTAR,
TRANSFERIR, Y SUMINISTRAR ENERGIA A LOS DIFERENTES SUBSISTEMAS DEL
PICOSATELITE DE FORMA AUTONOMA?

4
1.3 OBJETIVOS

1.3.1 Objetivo General

Disear e implementar el Mdulo de Potencia para el picosatlite experimental CubeSat UD


Colombia 1.

1.3.2 Objetivos Especficos

- Revisar trabajos previos de Sistemas de Potencia Elctrica en otros picosatlites tipo CubeSat
desarrollados en la Universidad Distrital y en otros lugares en el mundo.

- Disear el Mdulo de Potencia a partir de la validacin de las etapas de circuito o bloques


constituyentes de un sistema de potencia elctrica.

- Implementar un Mdulo de Potencia prototipo para pruebas.

- Realizar pruebas y validar diseo del Mdulo de Potencia.

- Implementar y proporcionar el Mdulo de Potencia que sea funcional en el espacio exterior para
el picosatlite experimental CubeSat UD Colombia 1 siguiendo los requerimientos tanto del
estndar CubeSat como de la Misin.

5
1.4 JUSTIFICACIN

1.4.1 Justificacin del proyecto CubeSat UD Colombia 1

El espacio exterior es un recurso estratgico valioso y est disponible para que el pas lo aproveche,
mediante el desarrollo de sus propios satlites funcionales para que cumplan determinadas
misiones. Las diferentes aplicaciones en satlites van desde lo acadmico, lo cientfico, hasta la
medicina, las comunicaciones, la meteorologa, la geo-localizacin, la elaboracin de mapas o en el
mbito militar, por citar algunas reas de inters. Tales aplicaciones satelitales han cobrado mayor
importancia en el mundo de hoy y tendrn ms importancia en el futuro, porque desempean
funciones fundamentales para la sociedad, y tiene influencia sobre la vida del hombre.

Es por lo anterior que la Universidad Distrital Francisco Jos de Caldas a travs del Grupo de
Investigacin en Telemedicina GITEM desarrolla el picosatlite experimental CubeSat UD Colombia
1, que busca entre otros los siguientes propsitos: una misin enfocada a una aplicacin en
telemedicina que usa las telecomunicaciones como forma de monitorizar seales biolgicas en
tiempo real con conexin a la internet; permite incorporar conocimiento y experticia en ciencias y
tecnologas espaciales, reduciendo costos en futuros proyectos, dejando la base a futuros trabajos
de estudiantes; demuestra que se puede disear, implementar y controlar satlites propios
reduciendo la intervencin de terceras partes. Permite trabajar con tecnologa de punta lo que pude
contribuir a visibilizar nuevas tecnologas. Facilita el intercambio de conocimiento con otras
universidades del mundo, entablar contactos y porque no iniciar una carrera aeroespacial en la
universidad en alianza con otros entes del estado.

El trabajo de diferentes grupos en el desarrollo de cada uno de los distintos mdulos que conforman
este picosatlite, ese es el punto de partida que permitir luego escalar a satlites ms grandes con
distintas cargas tiles.

1.4.2 Justificacin del Mdulo de Potencia

El Mdulo de Potencia es un elemento clave en cualquier satlite, su funcin es la de asegurar el


constante suministro de energa elctrica para el funcionamiento de los dems subsistemas del
satlite, a partir de una inagotable fuente de energa en el espacio exterior: la radiacin solar.

El desarrollo de un Mdulo de Potencia para un picosatlite permitira su uso escalando a satlites


ms grandes, y en vez de comprar los mdulos de energa ya fabricados, comerciales, se desarrolla
los diseos propios, se configurar a las necesidades propias de cada misin, eso se traduce en
reduccin de costos ya que su diseo puede ser mejorado continuamente y utilizado en sucesivas
misiones de picosatlites

Este proyecto titulado DISEO E IMPLEMENTACIN DEL MDULO DE POTENCIA PARA EL


PICOSATLITE EXPERIMENTAL CUBESAT UD COLOMBIA 1, pretende disear y desarrollar un
Mdulo de Potencia robusto y eficiente que permita llevar a cabo la misin encomendada dejando
en el proceso conocimiento, experticia, formacin, destreza en una variedad de reas del
conocimiento y visibilizando nuevas tecnologas. Por ejemplo al contener elementos de alta

6
tecnologa, de alta integracin, permite formarse en reas como aprendizaje de software de diseo
avanzado de circuitos, tcnicas de fabricacin de PCB y de ensamble de componentes de montaje
superficial.

Esta tecnologa es importante porque el diseo del Mdulo de Potencia del picosatlite se puede
llevar a aplicaciones terrestres que requieren de un suministro de energa constante a partir de la
energa solar, como por ejemplo las redes de sensores remotos y las comunicaciones remotas.

Para beneficio personal, se puede formar empresa diseado tarjetas de suministro de energa para
diversas aplicaciones. Los componentes y materiales se consiguen en el mercado, son suministrados
por los fabricantes para que cualquier persona, empresa o entidad encuentre soluciones a problemas
de suministro de energa para sus aplicaciones mediante un Mdulo de Potencia.

7
1.5 ALCANCES

El alcance del presente proyecto es la entrega de un Mdulo de Potencia para el picosatlite


experimental CubeSat UD Colombia 1, que sea funcional en el espacio exterior, siguiendo las
especificaciones y requerimientos tanto del estndar CubeSat como de la Misin. El propsito del
Mdulo de Potencia es suplir los requerimientos de consumo pico y promedio energtico del
picosatlite a lo largo de su vida til.

Se hace entrega adems del Mdulo de Potencia, el libro, un artculo para publicacin, y un cd con
toda la informacin.

1.6 LIMITACIONES

Las pruebas al Mdulo de Potencia se limitarn a las pruebas elctricas, no se realizarn pruebas
trmicas, ni de vaco, o de vibracin porque no se cuenta con esos equipos en la Universidad Distrital
Francisco Jos de Caldas.

La gestin de energa no implica necesariamente la incorporacin de un microprocesador en el


Mdulo de Potencia.

El diseo del Mdulo de Potencia est limitado al avance y disponibilidad de la informacin de otros
mdulos del picosatlite experimental CubeSat UD Colombia 1, si no existe esa informacin hay que
estimarla con base en otros picosatlites CubeSat en el mundo.

Algunos componentes, o manufactura y ensamble del circuito impreso es costoso y el nivel de


integracin alto y no se puede realizar en la universidad por los que el nmero de tarjetas de prueba
ser limitado.

8
2 GENERALIDADES

2.1 LA MISIN DEL PICOSATLITE EXPERIMENTAL CUBESAT UD COLOMBIA 1

La misin del picosatlite experimental CubeSat UD Colombia 1 es la de evaluar el comportamiento


de un sistema de telecardiologa va satlite e Internet entre Bogot, Neiva, Tunja y Florencia, a partir
del diseo y la implementacin de una plataforma de satlite7.

El sistema de telecardiologa est compuesto por la adquisicin de datos, y compresin y control de


error, tal como se muestra en la figura 2-1. Se envan datos comprimidos va internet a una estacin
terrena remota, los datos son enviados al picosatlite, para ser retransmitidos a otra estacin terrena
remota, finalmente va internet, llegan al hospital principal donde hay un especialista mdico, para
su respectivo anlisis y diagnstico.

ECG

CUBESAT_UD

PACIENTE ESPECIALISTA
INTERFAZ
Figura 2-1 Sistema de Telecardiologa.
Fuente: Proyecto CubeSat UD: Telemedicina y Telemetra, (Aparicio 2007).

Desde el punto de vista del Mdulo de Potencia el sistema de telecomunicaciones sobre el que basa
la misin se concentra en el Mdulo de Comunicaciones del segmento espacial (picosatlite). Y el
Modulo de OBC donde se manejan los datos.

2.2 ESTADO DEL ARTE

En el desarrollo del proyecto del picosatlite experimental CubeSat UD Colombia 1, tres han sido los
trabajos de grado previos relacionados directamente con el Mdulo de Potencia. En este apartado
se har un resumen de ellos.

2.2.1 Sistema de Potencia Fotovoltaica para Equipos Remotos, SPFV: Augusto Enrique Chaves
Garca

En este trabajo de grado8 se propone un primer diseo del Mdulo de Potencia para el picosatlite
de la Universidad Distrital Francisco Jos de Caldas, comprende los siguientes temas secuenciales:

7
Proyecto CubeSat UD: Telemedicina y Telemetra, (Aparicio 2007).
8
CHAVES GARCA, Augusto Enrique. Sistema de Potencia Fotovoltaica para Equipos Remotos: Diseo del Mdulo de Potencia para el Picosatlite Experimental
CubeSat UD. Bogot, 2008. Trabajo de Grado (Ingeniero Electrnico). Universidad Distrital Francisco Jos de Caldas. Facultad de Ingeniera. Coordinacin de
Ingeniera Electrnica.

9
un estudio sobre la operacin de satlites en rbita LEO (Low Earth Orbit) 9 incluyendo el ambiente
espacial, un estudio de los requerimientos del picosatlite haciendo una estimacin de la captacin
de energa para diferentes orbitas LEO as como consumos estimados para un picosatlite de este
tipo, un estudio sobre los sistemas fotovoltaicos. Con base en estos estudios Chaves hace un anlisis
y posterior diseo terico de las etapas del Mdulo de Potencia para el picosatlite experimental
CubeSat UD. El diagrama de bloques definitivo de este primer diseo del Mdulo de Potencia y
propuesto por Chaves se muestra en la figura 2-2.

Figura 2-2 Diagrama de Boques definitivo de SPFV Chaves.


Fuente: Chaves, Augusto. Sistema de potencia fotovoltaica para equipos remotos.2008.

Chaves propone la utilizacin de cinco paneles solares, conectados todos en paralelo, ubicados en
las caras del picosatlite. La energa proveniente de los paneles solares se utiliza como fuente
primaria que de forma independiente alimenta por un lado los buses regulados y por el otro alimenta
la carga de unas bateras (la fuente secundaria), compuesto por dos bateras de Li-Ion de celda nica
configuradas en paralelo. Cada batera cuenta con un circuito integrado encargado de la gestin de
carga y proteccin de la batera. Un selector llamado de fuente primaria selecciona o el suministro
de potencia elctrica proveniente de los paneles, o, del suministro proveniente del banco de batera;
este selector de fuente primaria alimenta a un regulador para el bus de 3,3 V y a un regulador para
el bus de 5,0 V. Para hacer la distribucin y proteccin emplea interruptores de usuario que actan
automticamente ante eventos de sobreconsumo, sobrevoltaje o sobretemperatura. Finalmente
Chaves resalta la utilizacin de un microcontrolador MSP430 de Texas Instruments, ubicado en el
Mdulo de Vuelo (OBC: On-Board Computer) que de forma centralizada maneje las seales de
estado y control del Mdulo de Potencia, adems del monitoreo de la carga y descarga de las
bateras.

A continuacin se muestra cada uno de los circuitos propuestos en las diferentes etapas diseadas
por Chaves. En la figura 2-3 se muestra el cargador de batera de Li-Ion BQ24010.

9
LEO: Low Earth Orbit. En espaol: rbita Terrestre Baja. Los limites tpicos de esta orbita estn entre los 200 km y los 2000 km. Los objetos en esta orbita se
encuentran con gases pertenecientes a las capas de atmosfera llamadas termosfera (80km a 500 km) y exosfera (por encima de lo s 500 km). Estas orbitas estn
debajo del Cinturn de Radiacin de Van Allen. Entre ms baja la rbita ms rozamiento con la atmosfera, mayor el decaimiento del objeto.

10
Figura 2-3 Diagrama esquemtico para el cargador de batera.
Fuente: Chaves, Augusto. Sistema de potencia fotovoltaica para equipos remotos.2008.

En la figura 2-4 se muestra el selector de fuente primaria TPS211X que selecciona de manera
automtica entre la energa proveniente de los paneles solares o del banco de bateras, medinte un
multiplexor de 2 a 1.

Figura 2-4 Diagrama esquemtico para el selector de fuente primaria.


Fuente: Chaves, Augusto. Sistema de potencia fotovoltaica para equipos remotos.2008.

El regulador conmutado TPS6013X es utilizado para generar el bus de 5,0 V y un regulador


conmutado TPS60120 es utilizado para generar el bus de 3,3 V: Ambos son del tipo Charge Pump
(Capacitivo). El manejo de corriente de estos dispositivos es limitado y en el diseo se disponen
varios en paralelo para garantizar un nivel adecuado de corriente (Figuras 2-5 y 2-6).

11
Figura 2-5 Regulador de Bus de 5,0 V.
Fuente: Chaves, Augusto. Sistema de potencia fotovoltaica para equipos remotos.2008.

Figura 2-6 Regulador de Bus de 3,3 V.


Fuente: Chaves, Augusto. Sistema de potencia fotovoltaica para equipos remotos.2008.

El Mdulo de Potencia de Chaves es controlado y monitoreado directamente por el microcontrolador


en el Mdulo de Vuelo del picosatlite (OBC). El microcontrolador utilizado es un MFP430F1612

2.2.2 Anlisis con Metodologa RUP (Rational Unified Process) e Implementacin de un Diseo
de una Etapa de Potencia para Picosatlite de Tipo CubeSat: Andrs Alexander Rodrguez
Fonseca y Julieth Paola Rojas Durn.

En este trabajo de grado 10 mediante un mtodo de anlisis denominado RUP se implementa el


Mdulo de Potencia diseado por Augusto Chaves. El trabajo hace adems un aporte de un software
bsico para el clculo de la potencia que se puede extraer de los paneles solares bajo ciertas
caractersticas de paneles y ciertas condiciones de iluminacin, y un software para el clculo de la
viabilidad de la transmisin de un picosatlite que solo depende de la energa entregada por sus
paneles.

10
RODRGUEZ FONSECA, Andrs Alexander y ROJAS DURN, Julieth Paola. Anlisis con Metodologa Rup (Rational Unified Process) e Implementacin de un
Diseo de una Etapa de Potencia para Picosatlite de Tipo CubeSat. Bogot, 2011. Trabajo de Grado (Ingeniero Electrnico). Universidad Distrital Francisco Jos de
Caldas. Facultad de Ingeniera. Coordinacin de Ingeniera Electrnica.

12
En la figura 2-7 se muestra la tarjeta del Mdulo de Potencia implementada, sobre la cual se realizan
varias pruebas elctricas en laboratorio. En la parte izquierda se muestra la tabla describiendo las
diferentes etapas y componentes. Al lado derecho muestra la imagen del Mdulo de Potencia.

6: BQ24010, es el circuito cargador de


una batera de Li-Ion.
1, 2, 3: TPS6013x, circuito regulador para bus
de 5.0V.
4, 5: TPS6012x, circuito regulador para bus
de 3.3V.
7: TPS2111, es el circuito selector de
fuente primaria bateras/paneles
solares.
8, 9, 10, 11, TPS20x2, circuitos de distribucin y
12, 13: proteccin para usuarios.
a: Conector Voltaje bateras.
b: Conector para el NTC de las bateras.
c: Conector para los paneles solares.
0: PC104, bus de conexin modular
picosatlite.
Figura 2-7 EPS desarrollada por Andrs Rodrguez y Julieth Rojas.
Fuente: Rodrguez, Andrs; Rojas, Julieth. Anlisis con Metodologa RUP (Rational Unified Process) e Implementacin de
un Diseo de una Etapa de Potencia para Picosatlite de Tipo CubeSat. 2011.

Esta tarjeta es para pruebas en laboratorio, de ningn modo para ser usada en el espacio puesto
que no cumple con los requisitos exigidos. Las pruebas elctricas se realizan sobre cada una de las
etapas del Mdulo de Potencia y sobre todo el Modulo completo. Algunos resultados negativos de
las pruebas elctricas mostraron que el Modulo de Potencia presento un rizado alto en las lneas de
bus a la salida de los interruptores de usuario, en uno de ellos un nivel voltaje DC es mucho mayor
a lo esperado. Se tienen problemas con el selector de fuente primaria que en definitiva no funciono.
Y el Modulo de Potencia no entrega la potencia necesaria requerida por un picosatlite tipo CubeSat.

2.2.3 Estudio del Sistema de Celdas Fotovoltaicas para la Implementacin de los Paneles Solares
del CubeSat UD COLOMBIA 1, (Mdulo Paneles Solares): Clara M. Aguilar y Andrs A.
Amaya C.

Clara Aguilar y Andrs Amaya11 proponen el prototipo para el arreglo de celdas fotovoltaicas ms
conveniente para su utilizacin en los paneles solares del picosatlite experimental CubeSat UD
Colombia 1, con base en un estudio de celdas disponibles en el mercado. El resultado de este trabajo
son paneles solares no desplegables y que estn montados en las caras de un picosatlite tipo
CubeSat de tamao 1U.

El modelo utilizado por ellos para el diseo de los paneles solares se basa en fuentes de corriente,
ya que tiene similitudes en la forma como las celdas fotovoltaicas convierten la energa solar en
energa elctrica. El voltaje proporcionado en cada celda fotovoltaica tiende a ser estable en la salida,
con variaciones en la corriente de celda que es proporcional a la energa recibida por esta.

11
AGUILAR PIRACHICN Clara Milena y AMAYA CAMARGO Andrs Augusto. Estudio del Sistema de Celdas Fotovoltaicas para la Implementacin de los Paneles
Solares del CubeSat Colombia 1. Bogot, 2011. Trabajo de Grado (Ingeniero Electrnico). Universidad Distrital Francisco Jos de Caldas. Facultad de Ingeniera.
Coordinacin de Ingeniera Electrnica.

13
El diseo de la configuracin elctrica y fsica de las celdas fotovoltaicas parte de los requerimientos
de trabajos previos como el del estudiante Augusto Enrique Chaves Garca, o trabajos que en ese
momento se estaba desarrollando como el del Mdulo Estacin Terrena y Mdulo de
Comunicaciones dirigido por el profesor Eduardo Gaona, el Mdulo de Actitud dirigido por el profesor
Cesar Castellanos, el Modulo de OBC dirigido por los profesores Jos Paternina y Leonardo Plazas,
el Mdulo de Potencia dirigido por el profesor Roberto Ferro, profesores vinculados al proyecto.

El resultado final es un arreglo en serie de dos celdas fotovoltaicas en un solo panel, que incluye
diodos de Bypass y diodos de bloqueo tal como se muestra en la figura 2-8. Este arreglo proporciona
un voltaje estable de salida y una mayor robustez en el funcionamiento en cuanto a eficiencia y
fiabilidad.

Figura 2-8 Configuracin del panel solar para el picosatlite CubeSat UD Colombia 1.
Fuente: AGUILAR PIRACHICN Clara Milena y AMAYA CAMARGO Andrs Augusto. Estudio del Sistema de Celdas
Fotovoltaicas para la Implementacin de los Paneles Solares del CubeSat Colombia 1.

La celda fotovoltaica seleccionada por Clara Aguilar y Andrs Amaya, es probada y caracterizada.
Las pruebas fueron hechas variando iluminacin, y temperatura. A partir del estudio, anlisis, diseo
y resultados de la pruebas de este trabajo de grado se mandan a fbrica/ensamblar los paneles
solares a la empresa Sequoia Space con celdas fotovoltaicas fabricadas por AzurSpace.

A continuacin en la tabla 2-1 se observa las caractersticas de la celda fotovoltaica seleccionada.

Tabla 2-1 Caractersticas de la celda fotovoltaica utilizada para los paneles solares.

Empresa Fabricante: AzurSpace12

Referencia/nombre de la celda: 3G28C

Tecnologa: Triple Juntura GaAs

CARACTERISTICAS ELECTRICAS

Voltaje promedio en circuito abierto [V] VOC 2,667

Corriente promedio de corto circuito [mA] ISC 506

Voltaje a mxima potencia [V] VPMAX 2,371

Corriente a mxima potencia [mA] IPMAX 487

Eficiencia Promedio [%] 28,0

Factor de degradacin (EOL: End of Life) FD 0,9

12
AZURSPACE (SOLAR POWER GMBH) es una empresa europea especializada en tecnologas solares avanzadas con productos para aplicaciones terrestres y
espaciales. http://www.azurspace.com/

14
Estos paneles solares cumplen con el estndar CubeSat, y son completamente compatibles con las
estructuras 1U Revisin D de CubeSat Kit. Cada uno de los paneles est diseado bajo la tecnologa
de celdas solares AzurSpace 3G28C especialmente diseada para aplicaciones LEO, adems por
ser celdas sensibles a la polarizacin inversa tienen como sistema de proteccin un diodo by-pass
el cual viene integrado a cada celda. Los paneles solares cuentan con un diodo externo al arreglo
de celdas de tipo Schottky que acta como diodo de bloqueo que impide que el par de celdas solares
del arreglo se comporte como carga para el sistema de administracin de energa EPS. Los paneles
solares cuentan con sensores I2C que permiten adquirir datos de temperatura y sensores de luz,
siendo estos ltimos tiles para el Mdulo de Actitud. En la figura 2-9 se muestran los paneles finales
del picosatlite.

Figura 2-9 paneles solares para el picosatlite experimental CubeSat UD Colombia 1.


Fuente: http://www.sequoiaspace.com/wp-content/uploads/2014/03/paneles_v3.pdf

Caractersticas tcnicas de cada panel fabricado y ensamblado por la empresa Sequoia Space 13:

- Voltaje nominal 1,8 V a 5,33 V


- Corriente nominal mxima 506 mA
- 2 Celdas en serie AzurSpace 3G V 28% (28% de eficiencia)
- 1 sensor de temperatura con interfaz I2C.
- 1 sensor de luz con interfaz I2C.
- Puertos SDA y SCL para comunicacin I2C con el bus CubeSat.
- 2 puertos anlogos (Voltaje de salida, GND).
- Conector de tipo through-hole para las seales de los paneles solares.

2.3 M ARCO TERICO

2.3.1 El Ambiente Espacial

En este apartado se describe el medio ambiente en el que el picosatlite va a operar, y el efecto que
tiene sobre el Mdulo de Potencia. Es una descripcin general y no con base en clculos detallados.

13
Sequoia Space es una empresa colombiana con sede en Bogot de servicios, produccin y desarrollo espacial. http://www.sequoiaspace.com/

15
El ambiente espacial que se describe es para en los momentos durante el lanzamiento y despus
del despliegue del satlite en rbita.

2.3.1.1 Orbita

El picosatlite ser lanzado en la "rbita Terrestre Baja (LEO: Low Earth Orbit) siguiendo una
trayectoria Polar a una velocidad cercana a los 27000 Km/h, con un periodo orbital de entre 90
minutos y 110 minutos, esto es, hace de entre 13 y 16 orbitas por da. La altitud del picosatlite est
entre los 600 Km y los 800 km. Como para comparar muy cerca por debajo a esa altitud se encuentra
la Estacin Espacial Internacional y que orbita a una altitud de alrededor de los 400 Km (Figura 2-
10).

Figura 2-10 Tipos de rbita Terrestre


Fuente: http://omegazenittecnologia.blogspot.com.co/2011/07/tecnologias-de-la-comunicacion.html

Una rbita baja cercana a la superficie de la Tierra, implica una atmosfera ms densa, por lo que
se estima que el picosatlite decaiga lentamente por el rozamiento, hasta desintegrarse en la
atmosfera y quemarse por completo en el proceso, por lo que su vida til esta entre los 8 meses y
los 4 aos, para la rbita LEO a 600 Km de altitud, la vida til es dependiente tambin del diseo
interno, de la inclinacin de la rbita, la excentricidad de esta y otros factores, como por ejemplo si
el pico satlite lleva propulsores.

Esa rbita baja permite que la potencia necesaria para transmisin y recepcin de informacin sea
menor en comparacin con las orbitas superiores, algo acorde al tamao, peso y enfoque acadmico
del picosatlite.

16
2.3.1.2 Radiacin

En el espacio existen bsicamente dos tipos de radiacin que afectan al picosatlite. La radiacin
electromagntica y la radiacin de partculas. La mayor parte de esta radiacin proviene
directamente del sol, pero la radiacin csmica y la radiacin de partculas desde el campo
magntico de la Tierra tambin son significativas. La radiacin de partculas del campo magntico,
es bsicamente radiacin de partculas del Sol que han sido capturadas en el campo magntico de
La Tierra, y se mueve alrededor de La Tierra en cinturones anchos. Debido a que esta radiacin est
contenida (en su mayora) en estas bandas, es de poca importancia fuera de ellas.

En rbita LEO, el picosatlite se encuentra por debajo de estos cinturones, que comienzan en
alrededor de 1000 km de altitud (Cinturn de Vall Allen).

Ambos tipos de radiacin, de partculas y electromagntica, degradan con el tiempo la electrnica.


Por esa razn los dispositivos electrnicos usados a bordo tienen unas caractersticas y rangos
especiales de funcionamiento y han de ser probados en tierra para asegurarse de que son lo
suficientemente resistentes para soportar la radiacin.

La radiacin puede causar errores en dispositivos lgicos. Esto ocurre cuando una partcula de alta
energa golpea el dispositivo y puede presentar uno de dos escenarios: el primer escenario es
cuando las partculas chocan a travs del material del dispositivo e ioniza una trayectoria de
partculas en su camino, esta trayectoria actuar entonces como un corto circuito entre las
partes/capas de la PCB. El segundo escenario es cuando una partcula de alta energa golpea un
tomo con suficiente energa para dividirlo, y las partes individuales entonces traza una trayectoria
de partculas ionizadas en todo el material. Este fenmeno se conoce como Single Event Upset
(SEU) como se muestra en la figura 2-11, y puede cambiar los datos almacenados digitalmente o
causar la apertura o cierre de una compuerta lgica en el momento equivocado. Si el impacto en el
dispositivo es de una naturaleza ms grave, la partcula de alta energa directamente puede causar
daos en dispositivos. Esto se conoce como un Single Event Latch-up (SEL). Software puede ser
programado para detectar y corregir errores digitales (SEU), pero un evento tipo SEL debe ser
detectado y corregido en hardware.

17
Figura 2-11 Eventos de partculas de alta energa que afectan los circuitos en el espacio - SEU.
Fuente: http://parts.jpl.nasa.gov/docs/Radcrs_Final.pdf

2.3.1.3 Temperatura y Presin

A una altitud de 600 kilmetros hay muy poca atmosfera y se puede considerar un vaco. Esto
implica que los componentes que consisten en materiales voltiles sufrirn de evaporacin
(desgasificacin) y por lo tanto todos los componentes utilizados en el Mdulo de Potencia deben
ser probados a fondo por su capacidad para soportar el vaco.

Puesto que el Mdulo de Potencia estar en un vaco, todo el transporte de calor va a ser por
conduccin de calor internamente en el picosatlite o por radiacin de ese calor, pero no habr
conveccin. El Mdulo de Potencia debe estar construido de manera que la produccin de calor sea
tan baja como sea posible. El rango de temperatura que el picosatlite debe ser capaz de soportar
durante el lanzamiento es de -40 C a 80 C y estas son las temperaturas que el picosatlite est
expuesto cuando est en la P-POD14.

Las temperaturas en rbita dependern principalmente del diseo trmico del picosatlite y como no
se ha realizado para esta misin, se depender de los clculos de otras universidades que han
construido picosatlites CubeSat.

14
P-POD: Poly Picosatellite Orbital Deployer. Para ms informacin remitirse a la seccin 2.4.7 de este captulo.

18
El TIT de Tokio calcula que las temperaturas al exterior del picosatlite en rbita LEO varan de -40
C a 80 C, y la SSEL de Montana estima que el intervalo de temperatura es de -120 C a 100 C.

Aunque hay diferencias en los clculos de las dos universidades se puede concluir que el picosatlite
debe ser capaz de sobrevivir en un ambiente trmico muy hostil, con temperaturas exteriores que
cambian bruscamente, sometiendo al picosatlite a estrs trmico.

2.3.1.4 Aceleracin y Vibraciones

Siempre y cuando el picosatlite est en rbita, no habr vibraciones inducidas externamente, y la


aceleracin ser menor que en la Tierra. Durante el lanzamiento, sin embargo, habr tanto fuertes
vibraciones y como una potente aceleracin.

El picosatlite, y por ende el Mdulo de Potencia, tienen que ser capaces de soportar una aceleracin
de 15 g. Esto en s no debe tener ningn efecto directo en la mayora de los componentes
electrnicos, pero deben tenerse en cuenta en el diseo global, por ejemplo, evitar componentes
altos y pesados que podran romperse durante la aceleracin.

El diseo general tambin tiene que soportar la vibracin causada durante el lanzamiento, y estas
pruebas de vibracin del picosatlite se hacen antes de ser incorporado al vehculo lanzador
(cohete). Una vez ms, la mayora de los componentes por s mismos son capaces de resistir las
vibraciones, pero la utilizacin de componentes mecnicos, tales como potencimetros, rels u otros,
se debe evitar siempre que sea posible.

2.3.1.5 El efecto del entorno sobre el Mdulo de Potencia

Como se ha descrito anteriormente hay unos pocos criterios que deben ser considerados cuando se
construye un Mdulo de Potencia. Las dos consideraciones ms importantes son: la radiacin y la
temperatura. En cuanto a la temperatura implica que los componentes utilizados en el Mdulo de
Potencia deben ser como mnimo de grado industrial para ser capaces de soportar las temperaturas
extremas en el espacio. Teniendo en cuenta que el efecto de la radiacin SEU es la ms grave
amenaza, el Mdulo de Potencia y los componentes debern ser capaces de soportar el desgaste
dentro del tiempo de vida del picosatlite. Esto implica que un mtodo redundante debe ser
desarrollado para soportar el SEU.

2.3.2 Descripcin del Sistema

Como se ilustra en la figura 2-12, el subsistema de gestin de energa elctrica (EPS) acondiciona,
almacena, controla y distribuye la potencia elctrica a los diferentes usuarios o subsistemas propios
de un satlite para poder garantizar la misin para la cual fue diseada. La EPS es un sistema muy
crtico para cualquier satlite. El satlite slo puede funcionar siempre y cuando se tenga potencia
elctrica. El fracaso de este sistema se traducir en el final de la misin del satlite.

19
Sistema de Gestin de Energa

Fuente de Poder Almacenamiento Regulacin y


Distribucin de
(Celdas de Energa Control de
Potencia
Fotovoltaicas) (Baterias) Potencia
Figura 2-12 Detalle funcional para el subsistema de energa de la nave espacial.
Fuente: Diseo Propio.

El Sistema de Potencia Elctrica debe cumplir como mnimo con las siguientes funciones:

Proveer una fuente continua de la corriente elctrica a las cargas del satlite durante la vida de
la misin.
Controlar y distribuir la corriente elctrica a los diferentes subsistemas.
Cumplir los requerimientos de potencia elctrica promedio y pico de los usuarios.
Proporcionar lneas de bus reguladas en potencia DC.
Proporcionar capacidad de comando y de telemetra para la integridad y estado del sistema de
potencia elctrica, as como control desde la estacin terrena o del sistema autnomo.
Proteger la carga til del satlite contra fallas dentro del sistema de potencia elctrica.
Suprimir los voltajes transitorios en las lneas de bus reguladas y protegerlos contra averas.

Los requisitos de dimensionamiento ms importantes son las demandas de energa elctrica


promedio y pico, y el perfil orbital (inclinacin y altitud). Se deben identificar los consumos de energa
elctrica para operaciones de la misin a partir del inicio de la vida til (BOL Beginning of Life), y
al final de su vida til, (EOL End of Life).

Para muchas misiones, la demanda de energa al final de su vida til debe reducirse para compensar
la degradacin del rendimiento de los paneles solares. La potencia elctrica promedio necesaria al
final de la vida til determina el tamao del Mdulo de Potencia. Por lo general, se multiplica la
potencia media por 2 o 3 para obtener los requisitos de potencia pico para el control de los usuarios,
carga til, sistema trmico y la misma EPS (carga de las bateras). Afortunadamente, todos los
sistemas no requieren de potencia mxima a la vez durante la misin.

Los componentes fundamentales considerados para la topologa inicial son el panel solar, la batera
y el regulador /conversor de voltaje.

Como se observa en la figura 2-13, la configuracin bsica del sistema del picosatlite basado en
energa solar implica el acondicionamiento por parte del Mdulo de Potencia de la energa elctrica
proveniente del mdulo de los paneles solares, la inclusin de un banco (arreglo) de bateras para
almacenar energa elctrica para momentos de no disponibilidad de energa directamente desde los
paneles solares. Finalmente la transferencia de potencia elctrica desde los paneles solares y/o
batera(s) hasta los distintos usuarios.

20
Figura 2-13 Configuracin Bsica del Mdulo de Potencia.
Fuente: DISEO PROPIO.

2.3.2.1 Celda Fotovoltaica

Figura 2-14 Celdas fotovoltaicas en aplicacin espacial.


Fuente:http://web.archive.org/web/20021006001946/http://spaceflight.nasa.gov/gallery/images/station/artistconcept/html/s97
_10538.html

Una celda fotoelctrica (figura 2-14 muestra los paneles solares de la ISS construida con base a
celdas fotovoltaicas), tambin llamada fotocelda o celda fotovoltaica, permite transformar la energa
lumnica (fotones) o solar en energa elctrica (flujo de electrones libres) mediante el efecto
fotoelctrico, generando energa solar fotovoltaica, a partir de un material que presenta efecto
fotoelctrico, esto es absorbiendo fotones de luz y emitiendo electrones. Cuando estos electrones
libres son capturados, el resultado es una corriente elctrica que puede ser utilizada como
electricidad.

La eficiencia de conversin media obtenida por las celdas disponibles comercialmente varan segn
la tecnologa utilizada pero van desde el 6 % de las celdas de silicio amorfo hasta entre el 14 % al
22 % de las celdas de silicio monocristalino. Tambin existen las celdas multicapa, normalmente de

21
Arseniuro de Galio, que alcanzan eficiencias mayores al 30 %. En laboratorio a la fecha actual se ha
superado el 43 % de eficiencia con nuevos paneles experimentales para su uso especial.

La vida til media a mximo rendimiento en La Tierra se sita en torno a los 25 aos, perodo a partir
del cual la potencia entregada disminuye por debajo de un valor considerable.

Al grupo o conjunto de celdas fotoelctricas para suministro energa elctrica a partir del sol se le
conoce como panel fotovoltaico. Los paneles fotovoltaicos consisten en un arreglo de celdas solares
conectadas como circuito en serie para aumentar la tensin de salida hasta el valor deseado a la vez
que se conectan varios arreglos como circuito paralelo para aumentar la corriente elctrica que es
capaz de proporcionar el dispositivo.

Principio de funcionamiento:

En un semiconductor expuesto a la luz, un fotn de energa arranca un electrn, creando a la vez un


hueco en el tomo excitado. Normalmente, el electrn encuentra rpidamente otro hueco para
volver a llenarlo, y la energa proporcionada por el fotn, por tanto, se disipa en forma de calor. El
principio de una clula fotovoltaica es obligar a los electrones y a los huecos a avanzar hacia el lado
opuesto del material en lugar de simplemente recombinarse en l: as, se producir una diferencia
de potencial y por lo tanto tensin entre las dos partes del material, como ocurre en una pila.

Para ello, se crea un campo elctrico permanente, a travs de una unin pn, entre dos capas
dopadas respectivamente, p15 y n16. En las clulas de silicio, que son mayoritariamente utilizadas,
se encuentran por tanto:

La capa superior de la celda, que se compone de silicio dopado de tipo n, hay un nmero de
electrones libres mayor que en una capa de silicio puro, de ah el nombre del dopaje n, negativo. El
material permanece elctricamente neutro, ya que tanto los tomos de silicio como los del material
dopante son neutros: pero la red cristalina tiene globalmente una mayor presencia de electrones que
en una red de silicio puro.

La capa inferior de la celda, que se compone de silicio dopado de tipo p, se tiene una cantidad media
de electrones libres menor que una capa de silicio puro. Los electrones estn ligados a la red
cristalina que, en consecuencia, es elctricamente neutra pero presenta huecos, positivos (p). La
conduccin elctrica est asegurada por estos portadores de carga, que se desplazan por todo el
material.

En el momento de la creacin de la unin pn, los electrones libres de la capa n entran


instantneamente en la capa p y se recombinan con los huecos en la regin p. Existir as durante
toda la vida de la unin, una carga positiva en la regin n a lo largo de la unin (porque faltan
electrones) y una carga negativa en la regin en p a lo largo de la unin (porque los huecos han
desaparecido); el conjunto forma la Zona de Carga de Espacio (ZCE) o "zona de barrera" y existe

15
Se les llama semiconductores de tipo P a los semiconductores contaminados con impurezas aceptoras. Las impurezas aceptoras son aquellas que agregan un hueco
en el material.
16
Los semiconductores tipo N son aquellos a los que se le agregan impurezas donoras (que donan un electrn).

22
un campo elctrico entre las dos regiones, de n hacia p. Este campo elctrico hace de la ZCE un
diodo, que solo permite el flujo de portadores en una direccin: En ausencia de una fuente de
corriente exterior y bajo la sola influencia del campo generado en la ZCE los electrones solo pueden
moverse de la regin p a la n, pero no en la direccin opuesta y por el contrario los huecos no pasan
ms que de n hacia p.

En funcionamiento, cuando un fotn arranca un electrn a la matriz, creando un electrn libre y un


hueco, bajo el efecto de este campo elctrico cada uno va en direccin opuesta: los electrones se
acumulan en la regin n (para convertirse en polo negativo), mientras que los huecos se acumulan
en la regin dopada p (que se convierte en el polo positivo). Este fenmeno es ms eficaz en la ZCE,
donde casi no hay portadores de carga (electrones o huecos), ya que son anulados, o en la cercana
inmediata a la ZCE: cuando un fotn crea un par electrn-hueco, se separaron y es improbable que
encuentren a su opuesto, pero si la creacin tiene lugar en un sitio ms alejado de la unin, el electrn
(convertido en hueco) mantiene una gran oportunidad para recombinarse antes de llegar a la zona
n. Pero la ZCE es necesariamente muy delgada, as que no es til dar un gran espesor a la celda.
Efectivamente, el grosor de la capa n es muy pequeo, ya que esta capa slo se necesita
bsicamente para crear la ZCE que hace funcionar la clula. En cambio, el grosor de la capa p es
mayor: depende de un compromiso entre la necesidad de minimizar las recombinaciones electrn-
hueco, y por el contrario permitir la captacin del mayor nmero de fotones posible, para lo que se
requiere cierto espesor mnimo.

En resumen, una clula fotovoltaica es el equivalente de un generador de energa a la que se ha


aadido un diodo. Para lograr una clula solar prctica, adems es preciso aadir contactos
elctricos (que permitan extraer la energa generada), una capa que proteja la clula pero deje pasar
la luz, una capa antireflectante para garantizar la correcta absorcin de los fotones, y otros elementos
que aumenten la eficiencia de la misma.

Factores de eficiencia de una celda solar:

Punto de mxima potencia

Una celda solar puede operar en un amplio rango de voltajes e intensidades de corriente. Esto puede
lograrse variando la resistencia de la carga, en el circuito elctrico, por una parte, y por la otra
variando la irradiacin de la celda desde el valor cero (valor de cortocircuito) a valores muy altos
(circuito abierto) y se puede determinar el punto de potencia mxima terica, es decir, el punto que
maximiza V (voltaje) y tiempo frente a I (corriente), o lo que es lo mismo, la carga para la cual la
celda puede entregar la mxima potencia elctrica para un determinado nivel de radiacin.

El punto de potencia mxima de un dispositivo fotovoltaico vara con la iluminacin incidente y la


temperatura como se muestra en la figura 2-15. Para sistemas ya bastante grandes se puede
justificar un incremento en el precio con la inclusin de dispositivos que midan la potencia instantnea
por medida continua del voltaje y la intensidad de corriente (y de ah la potencia transferida), y usar
esta informacin para ajustar, de manera dinmica, y en tiempo real, la carga para que se transfiera,
siempre, la mxima potencia posible, a pesar de las variaciones de luz que se produzcan durante el
da.

23
Figura 2-15 Rangos de seguimiento de mxima potencia

Eficiencia en la conversin de energa

La eficiencia de una celda solar (, "eta"), es el porcentaje de potencia convertida en energa elctrica
en relacin a la luz solar total absorbida por un panel, cuando una celda solar est conectada a un
circuito elctrico. Este trmino se calcula usando la relacin del punto de potencia mxima, Pm,
dividido entre la luz que llega a la celda, irradiancia (E, medida en W/m), bajo condiciones de prueba
estndar (STC: Standard Test Conditions) y el rea superficial de la celda solar (Ac en m).


= (1)

La STC especifica una temperatura de 25 C y una irradiancia de 1000 W/m con una masa de aire
espectral de 1,5 (AM 1,5). Esto corresponde a la irradiacin y espectro de la luz solar incidente en
un da claro sobre una superficie solar inclinada con respecto al sol con un ngulo de 41,81 sobre
la horizontal.

Esta condicin representa, aproximadamente, la posicin del sol de medioda en los equinoccios de
primavera y otoo en los estados continentales de los EEUU con una superficie orientada
directamente al sol. De esta manera, bajo estas condiciones una celda solar tpica de 100 cm 2, y de
una eficiencia del 12 %, aproximadamente, se espera que pueda llegar a producir una potencia de
1,2 W.

Factor de llenado

Otro trmino para definir la eficacia de una celda solar es el factor de llenado (Figura 2-16) o Fill
Factor (FF), que se define como la relacin entre el mximo punto de potencia dividido entre el voltaje
en circuito abierto (Voc) y la corriente en cortocircuito Isc:

24

= = (2)

Figura 2-16 Factor de llenado de celdas fotovoltaicas.


Fuente: http://mehran005.blogspot.com.co/2012/04/pv-solar-cell-simulation.html

2.3.2.2 Banco de Bateras

Batera, batera elctrica, acumulador elctrico o simplemente acumulador, se le denomina al


dispositivo que almacena energa elctrica, usando procedimientos electroqumicos y que
posteriormente la devuelve casi en su totalidad; este ciclo puede repetirse por un determinado
nmero de veces.

La batera se utiliza para almacenar energa, y se utiliza cuando la energa proveniente de las celdas
solares es insuficiente.

Principios de funcionamiento de una batera

El funcionamiento de una batera est basado esencialmente en un proceso reversible llamado


reduccin-oxidacin (tambin conocida como redox), un proceso en el cual uno de los componentes
se oxida (pierde electrones) y el otro se reduce (gana electrones); es decir, un proceso cuyos
componentes no resulten consumidos ni se pierdan, sino que cambian su estado de oxidacin, que
a su vez puedan retornar al primer estado en las circunstancias adecuadas. Estas circunstancias
son, el cierre del circuito externo, durante el proceso de descarga, y la aplicacin de una corriente
externa, durante la carga (Figura 2-17).

25
Figura 2-17 Funcionamiento de una batera. En la figura se muestra el caso de una batera de Li-Ion.
Fuente: http://www.diariomotor.com/tecmovia/2012/03/14/baterias-de-coches-electricos-e-hibridos-hoy-estado-de-la-
tecnologia-del-automovil/

Una batera es un dispositivo en el que la polarizacin se lleva a sus lmites alcanzables, y consta,
en general, de dos electrodos, del mismo o de distinto material, sumergidos en un electrolito.

La fabricacin de las bateras se realiza uniendo en serie y en paralelo diferentes clulas o celdas
electroqumicas hasta alcanzar los requerimientos de intensidad y voltaje. Puesto que el voltaje de
cada celda, tpicamente de alrededor de entre 1,5 V a 4,2 V, depende nicamente de la
electroqumica, es decir, del tipo de batera, los requisitos de voltaje se consiguen aadiendo celdas
en serie. La intensidad de corriente deseada se consigue uniendo las celdas en paralelo.

La capacidad de la batera, definida como la cantidad de energa que puede almacenar, depender
bsicamente del tamao de la misma. Su valor se expresa generalmente en amperios-hora, es decir,
la cantidad de carga que es capaz de entregar hasta que se alcanza un voltaje de corte, de
aproximadamente 2/3 del voltaje de carga completa. Tambin es posible expresar la capacidad de
la batera como la energa total que es capaz de entregar medida normalmente en vatios-hora (W
hr).

Otro parmetro importante de las bateras es la llamada profundidad de descarga o DoD (Depth of
Discharge). La profundidad de descarga se define simplemente como el porcentaje respecto a la
capacidad total de la batera que ha sido consumido durante la descarga de la misma.

Tipos de bateras

La tabla 2-2 muestra un comparativo de distintos tipos de bateras y sus caractersticas ms


importantes.

26
Tabla 2-2 Caractersticas de las bateras recargables de uso general.

Tipos de Bateras
NiCd NiMH Lead Li-ion Li-ion Reusable
Acid polymer Alkaline
Densidad Gravimtrica de Energa 45-80 60-120 30-50 110-160 130-200 80 (initial)
[Wh/kg]
Resistencia interna (m) 100 a 200 200 a 300 <100 150 a 250 200 a 300 200 a 2000
Ciclo de Vida (a 80% de su 1500 300 a 500 200 a 300 500 a 1000 300 a 500 50 (a 50%)
capacidad inicial)
Tiempo de Carga Rpida 1h tpico 24h 8 16 h 24h 24h 23h
Tolerancia a la sobrecarga moderada baja alta Muy baja baja moderada
Auto-descarga mensual (a 20 % 30 % 5% 10 % ~10 % 0,3 %
temperatura ambiente)
Voltaje de Celda Nominal (V) 1,25 V 1,25 V 2,0 V 3,6 V 3,7 V 1,5 V
Corriente de Carga
pico 20 C 5C 5C >2C >2C 0.5 C
recomendada 1C < 0.5 C < 0.2 C <1C <1C < 0.2 C
Temperatura de operacin (solo -40 a 60C -20 a -20 a 60C -20 a 60C 0 a 60C 0 a 65C
descarga) 60C
Requerimientos de Mantenimiento 30 a 60 das 60 a 3 to 6 no req. no req. no req.
90 das meses
Uso comercial desde: 1950 1990 1970 1991 1999 1992
Fuente: http://batteryuniversity.com/learn/article/secondary_batteries

Aunque existen numerosos tipos de bateras de aplicacin comercial, tan slo tres estn cualificados
para el uso en el sector espacial. stas son las bateras de: Nquel-Cadmio (NiCd), Nquel-Hidrgeno
(NiH2) y especialmente las bateras de Ion-Litio (Li-ion).

Bateras de Nquel-Cadmio (Ni-Cd)

Utilizan un ctodo de hidrxido de nquel y un nodo de un compuesto de cadmio. El electrolito es


de hidrxido de potasio. Esta configuracin de materiales permite recargar la batera una vez est
agotada, para su reutilizacin. Sin embargo, su densidad de energa es de tan slo 50 Wh/kg, lo que
hace que tengan poca capacidad. Admiten sobrecargas, se pueden seguir cargando cuando ya no
admiten ms carga, aunque no la almacena. Admiten un gran rango de temperaturas de
funcionamiento. Tienen como desventaja sufrir el llamado efecto memoria, por lo que van perdiendo
capacidad tras repetidos ciclos con bajas DoD.

Bateras de Nquel-Hidruro Metlico (Ni-MH)

Utilizan un nodo de hidrxido de nquel y un ctodo de una aleacin de hidruro metlico. Este tipo
de bateras se encuentran menos afectadas por el llamado efecto memoria. No admiten bien el frio
extremo, reduciendo drsticamente la potencia eficaz que puede entregar. Voltaje proporcionado:
1,2 V Densidad de energa: 80 W/Kg Capacidad usual: 0,5 a 2,8 Amperios (en pilas tipo AA).

Bateras de Iones de Litio (Li-Ion)

27
Un poco de historia. Las bateras de iones de litio (Li-ion) utilizan un nodo de grafito y un ctodo de
xido de cobalto, trifilina (LiFePO4) u xido de manganeso. Su desarrollo es ms reciente, y permite
llegar a altas densidades de capacidad de energa. No admiten descargas completas, y sufren mucho
cuando stas suceden por lo que suelen llevar acoplada circuitera adicional para conocer el estado
de la batera, y evitar as tanto la carga excesiva, como la descarga completa. Apenas sufren el
efecto memoria y pueden cargarse sin necesidad de estar descargadas completamente, sin
reduccin de su vida til. No admiten bien los cambios de temperatura.

Aunque las bateras de Li-ion necesitan circuitos de proteccin para la seguridad y la tecnologa Li-
Ion es ms cara que la mayora de las otras bateras, aunque eso se viene reduciendo actualmente
por su masiva utilizacin. Los altos ciclos de vida y su bajo mantenimiento reducen el costo por ciclo
sobre muchos otros qumicos.

Voltaje proporcionado:

- A plena carga: entre 4,2 V y 4,3 V dependiendo del fabricante


- A carga nominal: entre 3,6 V y 3,7 V dependiendo del fabricante
- A baja carga: Entre 2,65 V y 2,75 V dependiendo del fabricante (este valor no es un lmite,
se recomienda).

Un poco de historia. El trabajo pionero de la batera de iones de litio lo empez con G. N. Lewis en
1912, pero a principios de 1970 aparecieron las primeras bateras no recargables de litio y se
pudieron adquirir comercialmente. Los esfuerzos por desarrollar bateras recargables de litio
siguieron en la dcada de los ochentas, pero fallaron debido a problemas de seguridad. En la dcada
de 1980, el qumico estadounidense John B. Goodenough dirigi un equipo de investigacin de Sony
que producira finalmente la batera de iones de litio, recargable y una versin ms estable de la
batera de litio (el litio puro reaccionaba violentamente al contacto con un medio acuoso). Las
primeras unidades se vendieron en 1991. En estas bateras es importante controlar los procesos de
carga y descarga, ya que por encima de 60 grados Celsius pueden explotar.

El ctodo suele ser un xido de cobalto y litio, mientras que el nodo se compone de carbono poroso.
Este nodo es bastante caro, por lo que se han estudiado alternativas con el nquel, el manganeso,
o combinaciones de estos metales junto a iones aluminio o cromo.

En 1996, se lanz al mercado la batera de polmero de ion de litio. Estas bateras alojan su electrolito
en un polmero slido compuesto en lugar de en un disolvente lquido, y los electrodos y los
separadores se laminan entre s. Esta ltima diferencia permite que la batera pueda quedar
encerrada en una envoltura flexible en lugar de una carcasa metlica rgida, lo que significa que este
tipo de bateras puede ser fabricado especficamente para adaptarse a un dispositivo en particular.
Tambin tienen una densidad de energa mayor que las bateras de iones de litio normal. Estas
ventajas la han convertido en una batera de eleccin para los dispositivos electrnicos porttiles,
como telfonos mviles y tabletas, ya que permiten un diseo ms flexible y compacto.

La batera de litio ion polmero tiene mltiples ventajas, entre las que hay que destacar: su menor
costo de fabricacin, su gran densidad de energa, tasas de descarga superiores, su bajo peso, y
volumen, son bateras flexibles que se pueden adaptar a la forma y volumen de un dispositivo en

28
particular, los altos ciclos tiles de trabajo en el espacio exterior; es precisamente por estas
caractersticas que la batera de litio ion polmero son ampliamente usadas para dispositivos en el
mundo de la tecnologa de consumo actual.

Entre sus desventajas, estn que se necesita un control para la temperatura, puesto que son muy
sensibles a esta, y control para el inicio y terminacin de carga.

2.3.2.3 Conversores o Convertidores DC-DC

La estructura o elemento bsico del Mdulo de Potencia es el conversor DC-DC que es el que va a
permitir niveles de voltaje estables en la salida del conversor, lo cual es un requisito para el
picosatlite. La forma y cantidad como se incorpora los conversores DC-DC al circuito del Mdulo
de Potencia depende la topologa a utilizar en el picosatlite. Basndose en caractersticas como: la
eficiencia energtica, la robustez, la fiabilidad, la gestin de energa, los costos de implementacin,
las limitaciones de peso y espacio fsico.

Un conversor es un circuito electrnico capaz de cambiar un nivel de voltaje a otro, mayor o menor
(dependiendo del tipo de conversor). Esto se utiliza para proporcionar el voltaje correcto para los
diferentes subsistemas del satlite.

Regulador Lineal:

Un regulador lineal es un regulador de tensin basado en un dispositivo activo (como un transistor


de unin bipolar, transistor de efecto de campo o de tubo de vaco) que operan en su regin "lineal
o dispositivos pasivos como diodos Zener operado en su regin de descomposicin. Figura 2-18

Un transistor (u otro dispositivo) se utiliza como un divisor de potencial para controlar la tensin de
salida, y un circuito de realimentacin compara la tensin de salida a un voltaje de referencia a fin
de ajustar la entrada del transistor, lo que mantiene la tensin de salida razonablemente constante.
Esto es ineficiente: dado que el transistor acta como una resistencia, generando un desperdicio de
energa elctrica en forma de calor excesivo. De hecho, la prdida de potencia debido al
calentamiento en el transistor es el valor de la corriente multiplicado por la cada de tensin en el
transistor.

Figura 2-18 Regulador Lineal (a) pasivo, (b) activo.


Fuente: https://upload.wikimedia.org/wikipedia/commons/1/10/Zener_diode_voltage_regulator.svg
Fuente:http://3.bp.blogspot.com/_VOxSxKaKV2k/RziZGRbf2HI/AAAAAAAAAIE/pc8Mv1lkWi0/s1600-h/7805a.gif

29
Aunque existe un tipo de regulador lineal con mayor eficiencia y menor ruido denominado regulador
LDO. Un regulador low-dropout o LDO es un regulador de voltaje lineal DC que puede regular el
voltaje de salida incluso cuando el valor de voltaje de alimentacin est muy cerca del valor del
voltaje de salida, esto es por la baja cada en el regulador en s. Las ventajas de un regulador LDO
sobre otros reguladores DC-DC lineales y a los reguladores conmutados es que no tienen ruido por
conmutacin (ya que no tienen lugar interrupciones), el tamao del dispositivo es ms pequeo (ya
que se necesitan ni grandes inductores ni ningn transformador), y una mayor simplicidad de diseo
(por lo general consiste de una referencia, un amplificador, y un elemento de paso). Una desventaja
significativa como lo es en todos los reguladores lineales, es que, a diferencia de los reguladores
conmutados, deben disipar la energa a travs del dispositivo de regulacin para poder regular la
tensin de salida.

Reguladores conmutados:

Los reguladores conmutados electrnicos DC-DC convierten un nivel de voltaje DC a otro nivel DC,
mediante el almacenamiento temporal de la energa de entrada y luego liberando esta energa a la
salida con una tensin diferente. El almacenamiento de energa puede ser en componentes de
campo magntico (inductores, transformadores) o componentes de campo elctrico
(condensadores). Este mtodo de conversin de energa es ms eficiente (75 % a 98 %) que la
regulacin de voltaje lineal (que disipa energa en forma de calor no deseado). Esta eficiencia es
benfica al incrementar el tiempo de operacin de dispositivos que utilizan bateras. La eficiencia se
ha incrementado desde finales de 1980 debido a la utilizacin de FET de potencia, que son capaces
de conmutar a una ms alta frecuencia que los transistores bipolares, que tienen ms prdidas de
conmutacin y requieren de circuitos de control ms complejos.

Entre las desventajas de los conversores de conmutacin se incluyen la complejidad, el ruido


electrnico (EMI / RFI) y, en cierta medida los costos, aunque esto se ha reducido con los avances
en el diseo de circuitos altamente integrados. Los conversores DC-DC ya estn disponibles como
circuitos integrados que necesitan un mnimo de componentes adicionales.

Reguladores Conmutados de Almacenamiento Capacitivo (Charge Pump). Charge Pump es un tipo


de conversor dc a dc que utiliza condensadores como elementos de almacenamiento de energa
para crear ya sea una fuente de mayor o menor tensin. Estos circuitos son capaces de altas
eficiencias, a veces tan alto como 90-95 %, mientras que elctricamente son circuitos sencillos de
implementar.

Charge Pump utilizan algn tipo de dispositivo de conmutacin para controlar la conexin de las
tensiones de los condensadores. Por ejemplo, para generar un voltaje ms alto, la primera etapa
consiste requiere un condensador que est conectado a una tensin para cargarse. En la segunda
etapa, el condensador se desconecta de la tensin inicial de carga y vuelve a conectarse pero a
travs de lo que anteriormente era su polo negativo con una seal positiva de carga y su negativo a
otro condensador en serie que ser cargado por el primero. El resultado es que debido a que el
condensador mantiene la tensin a travs de l (ignorando los efectos de fuga) la tensin en bornes
positivo se aade al original, doblando o incrementando as la tensin. Para entender mejor esto
observar la Figura 2-19.

30
Implementar este tipo de conversores es menos costoso que los conversores conmutados inductivos.
Adems no emiten interferencia electromagntica. El rizado del voltaje de salida es ms alto, por
esto se utiliza un condensador de salida para suavizarlo.

Figura 2-19 Charge Pump funcionamiento.


Fuente: https://en.wikipedia.org/wiki/Charge_pump

Reguladores Conmutados de Almacenamiento Magntico

En estos conversores DC-DC, la energa es almacenada peridicamente en un inductor o un


transformador, y liberada luego desde un campo magntico. Por lo general el rango de operacin va
de 300 kHz a 10 MHz. Al ajustar el ciclo de trabajo de la tensin de carga (es decir, la proporcin de
encendido /apagado), la cantidad de energa transferida puede ser controlada. Por lo general, esto
se hace para controlar la tensin de salida, aunque podra hacerse para controlar la corriente de
entrada, la corriente de salida, o mantener una potencia constante. Los conversores basados en
transformadores pueden proporcionar un aislamiento entre la entrada y la salida. Los circuitos
conmutados DC-DC son el corazn de las fuentes de alimentacin conmutadas. Existen muchas
topologas. En general, el trmino "conversor conmutado DC-DC" se refiere a uno de los conversores
ms comunes consignados en la tabla 2-3.

Tabla 2-3 Topologas resumidas de reguladores conmutados con elementos magnticos.

Forward Flyback
La potencia va de la entrada, a travs del La potencia de la entrada se almacena en el
elemento magntico y a la carga elemento magntico para ms tarde ser
simultneamente. liberada hacia la carga.
No inversor: el voltaje de salida es de la
misma polaridad que el voltaje de entrada.
Elevador (boost) el voltaje de salida
es ms alto que el voltaje de entrada.
Reductor (buck) el voltaje de Sepic el voltaje de salida puede ser
salida es ms bajo que el voltaje de mayor o menor que el voltaje de
Sin entrada, y de la misma polaridad. entrada.
transformador Inversor: el voltaje de salida es de polaridad
opuesta al de entrada.
Inversor (buck-boost)
uk la corriente de salida es continua.
Buck-boost - el voltaje de salida puede ser mayor o menor que el voltaje de entrada
con la misma polaridad
Split-pi (boost-buck) permite conversiones de voltaje bidireccionales con un voltaje
de salida de la misma polaridad del voltaje de entrada y puede ser menor o mayor.

31
Con Medio puente - 2 transistores en Flyback - 1 o 2 transistores en
transformador conmutacin. conmutacin.
Puente completo - 4 transistores en
conmutacin.

Fuente: https://en.wikipedia.org/wiki/DC-to-DC_converter.

Adems, cada topologa puede ser de dos tipos:

Conmutacin forzada Los transistores conmutan rpidamente, mientras que son expuestos a
pleno voltaje y a plena corriente.
Resonante Un circuito LC da forma a la tensin en el transistor y la corriente a travs de l, de
modo que el transistor conmuta cuando la tensin o la corriente es cero.

Los reguladores conmutados DC-DC con elementos magnticos pueden funcionar en dos modos,
de acuerdo con la corriente en su principal elemento magntico (inductor o transformador):

Continuo La corriente flucta, pero nunca baja a cero.


Discontinuo La corriente flucta durante el ciclo, y desciende hasta cero en o antes del final de
cada ciclo.

Un conversor puede ser diseado para operar en modo continuo a alta potencia y en modo
discontinuo a baja potencia.

Las tres topologas bsicas de fuente de alimentacin conmutada de uso comn en pequeos
satlites son el buck, boost y buck-boost. Estas topologas son no aisladas, es decir, las tensiones
de entrada y de salida comparten una tierra comn. La topologa de la fuente de alimentacin se
refiere a cmo los interruptores, el inductor y el condensador de salida estn conectados. Cada
topologa tiene propiedades nicas, incluyendo las relaciones de estado estable de conversin de
voltaje, la naturaleza de las corrientes de entrada y salida, y el carcter del rizado de la tensin de
salida.

Convertidor Elevador (Boost).

El conversor boost es una topologa popular en etapas de potencia, a veces llamado elevador. Se
elige un conversor boost debido a que la tensin de salida requerida es siempre mayor que la tensin
de entrada, con la misma polaridad, y sin aislamiento de la entrada. La corriente de entrada para una
conversor boost es continua, o no pulsante, debido a que la corriente de entrada es la misma que la
corriente del inductor. La corriente de salida para una etapa de potencia boost es discontinua, o
pulsante, debido a que el diodo de salida solo conduce durante una parte del ciclo de conmutacin.
El condensador de salida suministra toda la corriente de carga para el resto del ciclo de conmutacin.
En la figura 2-20 se puede observar el esquema de este circuito.

32
Figura 2-20 Convertidor elevador (Boost).
Fuente: http://www.ti.com/lit/an/slva061/slva061.pdf

Para realizar el anlisis de funcionamiento del circuito mostrado en la figura 2-20 se asume lo
siguiente:

El circuito opera en rgimen permanente.


El periodo de conmutacin es T y el interruptor est cerrado por un tiempo DT y est abierto
el resto del tiempo (1 D)*T.
La corriente en la bobina es permanente (siempre positiva).
El capacitor es muy grande, por lo que la tensin de salida se mantiene constante.
Los componentes son ideales.

Como se mencion anteriormente los conversores conmutados se basan en la activacin y


desactivacin del interruptor con lo cual se generan dos circuitos, uno cuando el interruptor est
activado y otro cuando el interruptor est desactivado como se puede observar en la figura 2-21.

Figura 2-21 Funcionamiento de Conversor Boost.


Fuente: http://www.ti.com/lit/an/slva061/slva061.pdf

Como se puede observar en la figura 2-21, cuando el interruptor est cerrado, el diodo esta
polarizado en inversa por lo que se tiene una malla formada por la fuente y la bobina. La variacin
de la corriente es constante por lo que la corriente aumenta linealmente cuando el interruptor est
cerrado.

33
Esta variacin se calcula usando la ecuacin siguiente:


( ) = (3)

Cuando el interruptor est abierto, el diodo se polariza en directa (ya que la corriente en la bobina
no puede variar en forma instantnea) y proporciona un camino a la corriente de la bobina.

La variacin de la corriente en la bobina es constante, por ello la corriente debe variar linealmente
cuando el interruptor est abierto, esta variacin en la corriente de la bobina con el interruptor abierto
est dada por:

( )(1 )
( ) = (4)

Igualando estas ecuaciones se obtiene la ecuacin para el voltaje de salida:


= (5)
1
Analizando esta ltima ecuacin se puede deducir que si el ciclo de trabajo es cero el voltaje de
salida ser igual al voltaje de entrada, cuando se aumenta el ciclo de trabajo el denominador
disminuye y el voltaje de salida ser mayor que el de entrada. Como se puede ver, el conversor
elevador produce un voltaje de salida mayor o igual al voltaje de entrada. Es importante notar que
cuando el ciclo de trabajo del interruptor se aproxima a uno, la salida se har infinita. Esto se debe
a que el anlisis se basa en componentes ideales, pero en la realidad los componentes producen
prdidas, lo que impide que el voltaje de salida se haga infinito. En la figura 2-22 se muestran las
formas de onda de voltaje y corriente del conversor boost.

34
Figura 2-22 Formas de onda del conversor elevador (Boost).
Fuente: http://www.ti.com/lit/an/slva061/slva061.pdf

Convertidor Buck

El conversor Buck (o reductor) es un conversor de potencia DC/DC sin aislamiento galvnico, que
obtiene a su salida un voltaje continuo menor que a su entrada. El diseo es similar a un conversor
elevador o Boost, tambin es una fuente conmutada con dos dispositivos semiconductores (transistor
S y diodo D), un inductor L y opcionalmente un condensador C a la salida (Figura 2-23).

La forma ms simple de reducir una tensin continua (DC) es usar un circuito divisor de tensin, pero
los divisores gastan mucha energa en forma de calor. Por otra parte, un conversor Buck puede tener
una alta eficiencia (superior al 95 % con circuitos integrados) y alta autorregulacin.

Figura 2-23 Convertidor reductor (Buck).


Fuente: http://www.ti.com/lit/an/slva057/slva057.pdf

35
El funcionamiento del conversor Buck es sencillo, consta de un inductor controlado por dos
dispositivos semiconductores los cuales alternan la conexin del inductor bien a la fuente de
alimentacin o bien a la carga (Figura 2-24).

El conversor se dice que est modo continuo si la corriente que pasa a travs del inductor (IL) nunca
baja a cero durante el ciclo de conmutacin.

Con el interruptor est cerrado la tensin en el inductor es VL = VI VO y la corriente aumenta


linealmente. El diodo est en inversa por lo que no fluye corriente por l.
Con el interruptor est abierto el diodo est conduciendo en directo. La tensin en el inductor es
VL = VO y la corriente disminuye.

La energa almacenada en el inductor es:

1
= 2 (6)
2
Como puede verse la energa almacenada en la bobina se incrementa en estado ON (interruptor
cerrado) y se decrementa durante el estado OFF (interruptor abierto). La bobina se usa para transferir
energa desde la entrada a la salida. La variacin de IL viene dada por:


= (7)

Figura 2-24 Funcionamiento de un conversor Buck.


Fuente: http://www.ti.com/lit/an/slva057/slva057.pdf

Con VL igual a VI-VO durante el estado a ON y a -VO durante el estado OFF. El incremento de corriente
en ON es:

( )

= = = (8)

0 0

36
De la misma forma el decremento de corriente en OFF es:



= = = (9)

0 0
Si se asume que el conversor opera en un estado estable, la energa almacenada en cada
componente al final del ciclo de conmutacin T es igual al que haba al principio del ciclo. Esto
significa que la corriente IL es igual en t=0 y en t=T (ver figura 2-25). Por lo tanto,

+ = 0 ( 10 )

( )
=0 ( 11 )

Si = , entonces = (1 ) ,

( ) (1 ) = 0 ( 12 )

Esta ecuacin puede ser reescrita como:

= ( 13 )

De la ecuacin anterior se puede observar como la tensin de salida del conversor vara linealmente
con el ciclo de trabajo para una tensin de entrada dada. Como el ciclo de trabajo D es igual al
cociente entre ton y el periodo T no puede ser mayor a 1. Por consiguiente . Figura 2-25.

37
Figura 2-25 Voltajes y corrientes en el tiempo en un conversor Buck ideal en modo continuo.
Fuente: http://www.ti.com/lit/an/slva057/slva057.pdf

2.4 ESPECIFICACIONES DE DISEO ESTNDAR CUBESAT

Un satlite CubeSat es un pequeo satlite con especificaciones de diseo estandarizadas por la


Universidad Politcnica del Estado de California (Cal Poly), en San Luis Obispo, y el Laboratorio de
Desarrollo de Sistemas Espaciales de la Universidad de Stanford (SSDL) para que universidades,
institutos, empresas privadas, tengan un conjunto de normas para aplicarlos en el diseo, la
construccin y el despliegue de un satlite pequeo, permitiendo ahorrar costos y tiempo, y acceso
al espacio, la buena fiabilidad para la misin, y la posibilidad de sostener lanzamientos frecuentes .

El estndar CubeSat permite el desarrollo de misiones con cargas tiles, privadas o estatales, de
carcter cientfico o acadmico u otras aplicaciones de investigacin permitidas por la regulacin
espacial. A continuacin se resume las caractersticas y requisitos bsicos del estndar.

38
2.4.1 Caractersticas Bsicas del Estndar CubeSat Revisin 1317

El bloque constituyente de un CubeSat es una unidad denominada 1U que tiene forma de cubo de
arista 10 cm (volumen de 1 litro). Siendo 1U la configuracin bsica desde donde se puede
desarrollar o escalar a satlites con diferentes dimensiones y con las siguientes masas mximas:

1U 1,33 Kg
1,5U 2 Kg
2U 2,66 Kg
3U 4 Kg

Existe el 3U+ que es una extensin en volumen (no en peso) del 3U, equivalente a un
volumen adicional de un cilindro centrado en el centro geomtrico del eje Z de altura 35 mm
y un dimetro de 54 mm.
Tambin existe el 0.5U.

2.4.2 Requisitos Generales

El CubeSat no debe poseer elementos que puedan generar residuos orbitales adicionales.
No se permite el uso de elementos pirotcnicos.
Los sistemas de propulsin deben incorporar al menos 3 inhibidores de la activacin.
La energa qumica almacenada no debe ser superior a los 100 Vatios-Hora.
La desgasificacin para los materiales utilizados que establecen, por ejemplo, que la masa
total perdida (TML) no debe ser superior al 1 %.

2.4.3 Requisitos Mecnicos

Las dimensiones estndar para una unidad CubeSat 1U son: 100 mm x 100 mm x 100 mm
El origen del centro de referencia es el centro geomtrico del CubeSat.
El centro de masa del satlite no debe estar distanciado ms all de los 2 cm del centro
geomtrico del satlite.
La estructura del CubeSat debe ser construida en Aluminio 7075, 6061, 5005 y/o 5052
(Figura 2-26).
Es necesario el uso de railes (gua sobre la que se desplaza la estructura del o los satlites
en el P-POD) como elemento estructural del CubeSat para permitir su colocacin dentro del
P-POD. Sus caractersticas son descritos detalladamente en el CDS.
Dentro del P-POD, los satlites contiguos acoplados sobre los rail deben estn separados
por un muelle, que los distanciara una vez sean expulsados al espacio.
La configuracin 3U+ tiene permitido un volumen adicional de un cilindro de 35 mm de alto
y un mximo de 54 mm de dimetro.

17
http://dwww.cubesat.org/images/developers/cds_rev13_final2.pdf

39
Figura 2-26 Estructura CubeSat 1U con sus mdulos.
Fuente: http://www.cubesatkit.com/docs/datasheet/DS_CSK_Midplane_Standoff_711-00331-B.pdf

2.4.4 Requisitos Elctricos

El satlite debe estar des-energizado, es decir su sistema elctrico desactivado desde el


momento de incorporarse al vehculo espacial hasta el momento de la insercin en rbita del
satlite.
El CubeSat debe incorporar al menos un interruptor de separacin que se encargar de
activar el sistema elctrico despus de ser desplegado.
El sistema elctrico debe constar de una proteccin para la carga y descarga de la batera.
Se recomienda desactivar el sistema de emisin RF para evitar cualquier transmisin
indeseada.

2.4.5 Requisitos Operacionales

El operador del CubeSat debe estar en posesin de una licencia de radio frecuencia acorde
a la regin desde la que se va a operar. Las licencias se pueden solicitar a la IARU
Internacional Amateur Radio Unin.
El diseo y el hardware utilizado para el CubeSat debe cumplir con los requisitos de la norma
NPR 8715.6 que limita los residuos orbitales.
Cualquier elemento desplegable debe esperar un mnimo de 30 min para su hacer su
despliegue a partir del momento de la separacin del P-POD.

40
2.4.6 Requisitos de Pruebas

Se establecen un mnimo de test que los CubeSat debern pasar satisfactoriamente:

Test de vibracin.
Test de vaco y trmico.
Test de choque.
Inspeccin visual.
Cualificacin.
Protovuelo (definidos en MIL-STD-1540 y LSP-REQ-317.01).
Aprobacin.

2.4.7 P-Pod: Poly-Picosatellite Orbital Deployer

El Poly Picosatlite Orbital Deployer (P-POD) es un estndar para el sistema de despliegue que
asegura que todos los CubeSat desarrollados se ajusten a requerimientos fsicos comunes. El P-
POD juega un papel fundamental como la interfaz entre el vehculo de lanzamiento y el, o los
CubeSats: garantiza la seguridad de los CubeSats y se proteger el vehculo de lanzamiento (LV), a
la carga til primaria, y a otras CubeSats (Figura 2-27).

El P-POD utiliza un diseo tubular y puede contener hasta 340.5 mm x 100 mm x 100 mm de
hardware de despliegue.

Cada P-POD tiene capacidad de 3U, es decir puede contener bien tres satlites tipo CubeSat 1U, o
uno 1U y uno 2U, o uno de 3U o 3U+, y las dems combinaciones con el tamao 0.5U y 1.5U.

En la imagen de la figura 2-27 se aprecia al lado izquierdo un satlite tipo CubeSat de tamao 3U y
al lado derecho el P-POD en el que ira, el P-POD se asegura al vehculo de lanzamiento, y desde l
se expulsara el satlite.

Figura 2-27 P-POD con CubeSat 3U.


Fuente:http://www.cubesat.org/images/LaunchProviders/p-pod_mkiiireve_userguide_cp-ppodug-1.0-1_rev1.pdf

41
3 ANLISIS DEL SISTEMA DE GESTIN DE ENERGA

3.1 SISTEMAS DE POTENCIA ELCTRICA EN SATLITES

En la tabla 3-1 se resume los pasos a seguir en el proceso de anlisis para el diseo del sistema de
potencia elctrica de un satlite. Todos los pasos de diseo deben vincularse a los requerimientos
de la misin y del estndar CubeSat. Es de notar que los requerimientos derivados pueden impactar
en las decisiones de diseos previos y forzar iteraciones en el proceso de diseo actual.

Tabla 3-1 Proceso de diseo del sistema de potencia elctrica.

Paso Informacin requerida Requisitos derivados

1. Identificacin Requisitos de nivel superior. Requisitos de diseo.


de los Tipo de misin (LEO, GEO). Perfil de potencia elctrica de la
requerimientos nave espacial (promedio y pico).
generales. Configuracin de la nave espacial.
Tiempo de vida de la misin.
Definicin de la carga til.
2. Seleccin y Tipo de misin. Requisitos de energa EOL18.
dimensionamiento Configuracin de la nave espacial. Caractersticas de las celdas solares.
de la fuente de
alimentacin. Requisitos de consumo promedio de Configuracin del arreglo solar.
energa elctrica.
3. Seleccin y Parmetros orbitales de la misin. Condiciones de eclipse.
tamao del Requerimientos de consumo de Tipo de batera y sus caractersticas
almacenamiento energa elctrica (carga pico y (capacidad, masa y volumen).
de energa promedio).
4. Identificacin Fuente de energa. DET o PPT19.
de la regulacin y Tiempo de vida de la misin. Control trmico.
control de
potencia. Requerimientos para la regulacin Calidad del bus voltaje.
de potencia. Algoritmos de control de potencia.
Requerimientos de control trmico.
Fuente: Wertz, J. Wiley J. Space Mission Analysis and Design. 1999.

La misin del picosatlite experimental CubeSat UD Colombia 1 es un sistema de


telecomunicaciones para evaluar un sistema de telecardiologa. Este pequeo satlite es de tipo
CubeSat de tamao 1U. Se ubicar en una rbita terrestre baja (LEO) con una vida til de entre 8
meses y dos aos.

Debido a que la principal aplicacin del picosatlite es de telecomunicaciones, el Mdulo de


Comunicaciones representa la carga til. El picosatlite cuenta con antenas omnidireccionales, lo
que significa que para el picosatlite en principio no es prioridad un sistema de estabilizacin y

18
EOL: End-of-Life. Parmetro dado por los fabricantes de ciertos productos para referirse al final del tiempo de vida til de un dispositivo o componente.
19
DET o PPT: Direct Energy Transfer or Power Point Tracking. Se refiere a la forma como es transferida la energa en un Sistema como el elctrico.

42
posicionamiento preciso por lo tanto el Mdulo de Actitud no es un elemento crtico para esta misin.
Sin embargo hay grupos encargados del Mdulo de Actitud con aporte acadmico a probar en el
picosatlite que es experimental, y para futuras misiones es importante tener en cuenta al Mdulo
de Actitud.

El sistema de potencia elctrica es un sistema totalmente autnomo, auto gestionable y modular,


que recibe energa de un arreglo de paneles solares (arreglo de clulas fotovoltaicas), que
acondiciona esta energa y la distribuye a los usuarios que son los otros sistemas electrnicos a
bordo del satlite. Los paneles a utilizar tienen una eficiencia del 28 %, con un voltaje nominal de
entre 1,8 V a 5,3 V, y una corriente mxima posible de 506 mA con iluminacin plena. El sistema
debe ser capaz de almacenar en un banco de bateras la energa sobrante, y cuando el consumo de
energa exceda la entrada de energa de los paneles o durante eclipses, las bateras deben entrar
en funcionamiento a fin de suplir la potencia necesaria.

La EPS deber ser capaz de trabajar en las condiciones ambientales del espacio. Aunque no se
conocen los datos especficos de la rbita en que estar ubicado el picosatlite, muy posiblemente
sea una rbita baja terrestre siguiendo una trayectoria polar a 600 km de altura aproximadamente,
viajando cerca de los 27000 km/h lo que implica un tiempo de orbita entre 90 y 110 min. La
temperatura externa en estas condiciones vara entre -40C hasta 85C. Una ventaja de la ubicacin
en esta orbita es que esta debajo de los cinturones de radiacin, lo cual permite utilizar componentes
comerciales de grado industrial. Adicionalmente el satlite requiere menos potencia para transmitir,
por la cercana a la superficie, aunque la huella de transmisin/recepcin es de menor rea.

3.2 ANLISIS Y SELECCIN DE COMPONENTES

3.2.1 Reguladores en el Mdulo De Potencia: Convertidores DC-DC

El convertidor DC-DC es el principal elemento que integra el Mdulo de Potencia del picosatlite, es
el que permite acondicionar la energa proveniente de los paneles solares, proporcionar un nivel
adecuado para la carga de un arreglo de bateras, y suministrar los buses regulados a los usuarios.
La figura 3-1 muestra como el Conversor DC-DC se relaciona con los perifricos.

La configuracin en torno al conversor DC-DC en lo que se denomina la topologa es la forma como


se disponen los circuitos, las etapas, los bloques funcionales, los perifricos en el Mdulo de
Potencia que van permitir cumplir con los requerimientos del estndar CubeSat, y de la Misin en el
ambiente del entorno espacial. El anlisis de requerimientos parte de lo general a lo particular, es
decir desde analizar por ejemplo el tipo de orbita hasta seleccionar los componentes necesarios, en
base a una disponibilidad energtica, un consumo estimado y un sistema de almacenamiento de
energa.

Conceptos como robustez, complejidad, respaldo, desempeo, eficiencia, fiabilidad, funcionalidad,


proteccin, entre otros, han de ser tenidos en cuenta. Evaluar estos aspectos permite calcular costos,
recursos, tamao, riesgos, tiempos de construccin del mdulo.

43
Figura 3-1 Conversor DC-DC como elemento principal de los circuitos del Mdulo de Potencia.
Fuente: Diseo Propio.

El resultado del anlisis es una aproximacin a la topologa inicial ms adecuada para el Mdulo de
Potencia y se muestra en la figura 3-2, en donde se aprecia la inclusin de dos bloques de
conversores DC-DC en un camino directo desde los paneles hasta la salida, uno para acondicionar
la energa proveniente de los paneles solares y el otro para generar los buses de usuario regulados,
dejando al banco de bateras como una fuente de energa secundaria.

Figura 3-2 Configuracin topologa conversores DC-DC.


Fuente: Power Supply Unit for the AAU-CubeSat, document 01GR509, December 20, 2001, pg. 33.

3.2.2 Disponibilidad Energtica.

Para un satlite en el espacio, la principal fuente de energa proviene de la radiacin solar, y en


menor medida del albedo terrestre y la radiacin infrarroja desde la tierra.

La radiacin solar es la principal irradiacin a tener en cuenta, la cual puede ser transformada en
energa elctrica a travs de procesos de conversin directa utilizando celdas solares. El flujo de
energa solar es inversamente proporcional al cuadrado de la distancia entre el Sol y la tierra, y esta
distancia varia aproximadamente entre 1335 W/m y 1414 W/m en el transcurso del ao. En la

44
condicin AM0 (masa de aire cero), el valor recomendado por la Sociedad Americana de Pruebas y
Materiales (ASTM) es20,

= 1366 / ( 14 )

La irradiacin por Albedo de la tierra es la fraccin de luz que se refleja de una superficie. La
irradiacin Albedo de la Tierra puede variar desde 0,03 a 0,8. El promedio de la irradiacin Albedo
de la Tierra es de 0,34. Entonces el valor promedio de la radiacin por albedo de la tierra es

= 0,34 ( 15 )

= 1366 / 0,34 ( 16 )

= 465 / ( 17 )

Debido a la tecnologa propia de las celdas solares multijuntura, el espectro de luz infrarroja (de 700
nm a 1000 nm) puede ser tenida en cuenta como aporte a la irradiacin total que recibe el
picosatlite. El valor promedio de esta radiacin es,

= 237 /2 ( 18 )

Para este clculo se considera una rbita sincronizada con el sol a 650 kilmetros de altura, con el
satlite en eclipse de alrededor del 33% del tiempo. El anlisis se lleva a cabo en uno, dos o tres
lados del satlite iluminados por el sol. Un nmero de valores medios se utilizan para el siguiente
clculo.

Como se vio en el estado del arte (seccin 2.2 para ms detalle) las caractersticas bsicas de las
celdas solares utilizadas son las siguientes:

Eficiencia de la Celda Solar, = 28%


Degradacin inherente (EOL), = 0,9

La energa debida a la iluminacin directa del sol se muestra en la tabla 3-2:

= 1366 / ( 19 )

20
Aguilar, Clara; Amaya, Andrs. Estudio del Sistema de Celdas Fotovoltaicas para la Implementacin de los Paneles Solares del CubeSat Colombia 1 Bogot:
Universidad Distrital Francisco Jos de Caldas, 2011. Captulo 2.

45
Tabla 3-2 Clculo de la potencia debida a la iluminacin directa del sol.

Parmetros Nmero de caras iluminadas


Una Dos Tres

Angulo promedio a, [grados] 90 45 33


Potencia generada = (), [] 344,23 243,41 187,48
por metro cuadrado
Nmero de caras n 1 2 3
expuestas
rea por cara del A, [m2] 0,006 0,006 0,006
satlite
Potencia generada = , [] 2,07 2,92 3,38
Escenario probable (probabilidad) 0,25 0,42 0,33
Potencia Promedio = , []) 0,52 1,23 1,11
por escenario

Potencia promedio total se halla sumando los tres escenarios de operacin.

= 2,86 ( 20 )

La potencia generada por el albedo se obtiene de similar manera, ver la tabla 3-3:

= 465 / ( 21 )

Tabla 3-3 Clculo de la potencia debida al albedo.

Parmetros Nmero de caras iluminadas


Una Dos Tres

Angulo promedio a, [grados] 90 45 33


Potencia generada = (), [] 117,18 82,86 63,82
por metro cuadrado
Nmero de caras n 1 2 3
expuestas
rea por cara del A, [m2] 0,006 0,006 0,006
satlite
Potencia generada = , [] 0,70 0,99 1,15
Escenario probable (probabilidad) 0,25 0,42 0,33

46
Potencia Promedio = , []) 0,18 0,42 0,38
por escenario

Potencia promedio total se halla sumando los tres escenarios de operacin.

= 0,97 ( 22 )

La potencia generada por el infrarrojo se obtiene de similar manera, ver la tabla 3-4:

= 237 / ( 23 )

Tabla 3-4 Clculo de la potencia debida a la radiacin infrarroja.

Parmetros Nmero de caras iluminadas


Una Dos Tres

Angulo promedio a, [grados] 90 45 33


Potencia generada = (), [] 59,72 42,23 32,53
por metro cuadrado
Nmero de caras n 1,00 2,00 3,00
expuestas
rea por cara del A, [m2] 0,01 0,01 0,01
satlite
Potencia generada = , [] 0,36 0,51 0,59
Escenario probable (probabilidad) 0,25 0,42 0,33
Potencia Promedio = , []) 0,09 0,21 0,19
por escenario

Potencia promedio total se halla sumando los tres escenarios de operacin.

= 0,5 ( 24 )

La potencia mxima real disponible en el mejor caso se da cuando el picosatlite obtiene energa
por iluminacin directa del sol, por albedo y por infrarrojo y adems tiene una rbita sin eclipse
= 2,86 + 0,97 + 0,5 ( 25 )

= 4,32 ( 26 )

En el peor de los casos solo se tiene en cuenta la iluminacin directa del sol en una rbita con eclipse.

Tiempo de luz solar por orbita con eclipse, TD:

47
2
= ( 27 )
3
= ( 28 )

2
= 2,86 ( 29 )
3
= 1,90 ( 30 )

La potencia real mnima por orbita (peor caso) se toma para calcular la energa disponible

= = 1,90 97 min ( 31 )

1
= 1,90 97 min ( 32 )
60 min
= 3,080 Wh ( 33 )

3.2.3 Consumo de Energa

El consumo de energa del picosatlite experimental CubeSat UD Colombia 1 variar dependiendo


del tipo de rbita y los modos de operacin. Para el presupuesto de potencia y para el anlisis de
diseo se asume el peor caso, esto supone la operacin completa de todos los subsistemas al mismo
tiempo y carga til, cada uno con su respectivo tiempo de operacin. En la tabla 3-5 se muestra las
estimaciones ms extremas (peor caso de consumo - sobredimensionamiento) del uso de energa
pico.

Tabla 3-5 Presupuesto de energa (consumo pico).

Subsistema Voltaje Corriente Potencia Tiempo de Energa


[V] [mA] [mW] operacin por orbita [mWh]
(97min), [min]
OBC 5 40 200 97 323,33
COMM Recepcin 5 70 350 58 338,33
COMM Beacon 5 500 2500 29 1208,33
COMM Trasmisin 5 500 2500 10 416,67
MCU's y sensores 3,3 50 165 97 266,75
ATT 5 200 1000 49 816,67
EPS Calentadores 5 150 750 30 375,00
Energa consumida por orbita (peor caso) 3745,08

48
Si se considera una eficiencia total para los conversores de la EPS de = 75 %, la mnima
energa necesaria seria:

3,74508
= = = 4,99344 ( 34 )
0,75
El sol proveer energa en el peor de los casos tal como se calcul antes:

= 3,080 Wh ( 35 )

Entonces la energa necesaria que se requiere de las bateras es:

= = 4,99344 Wh 3,080 Wh ( 36 )

= 1,91344 Wh ( 37 )

Esta es la energa que necesitara entregar la batera en una rbita para suplir todos los
requerimientos energticos.

En la prctica se espera una mayor generacin de energa, as como un consumo menor debido a
que no necesariamente acten todos los sistemas en cada orbita, y no siempre el picosatlite estar
en eclipse. Adems si asumimos 15 orbitas al da, sobre Colombia pasara el picosatlite mximo
dos veces por da, lo que deja tiempo para cargar las bateras. Y ms si no se trabaja con el peor
caso.

3.2.4 Subsistema de Almacenamiento

3.2.4.1 Seleccin y Dimensionamiento del Banco de Bateras

Es necesario el uso de bateras para proveer de energa elctrica al picosatlite durante el eclipse y
cuando hay una demanda pico de potencia elctrica en el consumo. Las bateras sirven de respaldo
en caso de falla en las celdas solares, y simultneamente como almacenamiento redundante de
energa. Debido a las condiciones orbitales ellas operaran en un amplio rango de temperaturas en
el espacio, y hay que tener en cuenta que el peso y el tamao deben ser lo ms reducido posible.

Con estas consideraciones y la disponibilidad en el mercado se elige como la mejor opcin las
bateras de Litio Ion Polmero de alta densidad de energa del fabricante DANIONICS de referencia
DLP 375388-01 (figura 3-3) cuyas caractersticas principales son:

Celda nica.
Capacidad Nominal: 1,7 Ah.

49
Voltaje Nominal: 3,7 V.
Voltaje Mximo de Carga: 4,2 V.
Voltaje Mnimo de Descarga: 3,0 V
Ciclo de Vida
400 ciclos hasta el 80 % de la capacidad inicial a 23C @ 1,7 A de corriente
constante de descarga.
300 ciclos hasta el 80 % de la capacidad inicial a 45C @ 1,7 A de corriente
constante de descarga.
Temperatura de Carga desde 0C hasta 50C.
Temperatura de Descarga desde -20C hasta 60C.
Dimensiones: 88 mm X 53 mm X 3,7 mm.
Peso: 33 g 2 g.

Figura 3-3 Batera de Li-Ion Poly DANIONICS DLP 375388-01.


Fuente: FOTO PROPIA.

Cada una de estas bateras completamente cargadas pueden proveer una energa de:

( + ) (4.2 V + 3.0 V) 1,7


= = ( 38 )
2 2
= 6,120 Wh ( 39 )

Se puede ver de este resultado que una batera totalmente cargada es capaz de entregar la energa
necesaria en una rbita completa sin el uso de los paneles solares. Si se usan los paneles solares,
una sola batera ser capaz de complementar la energa entregada por los paneles durante tres
orbitas. Pero para evitar descargar la batera y tener un respaldo en caso de falla de una de ellas se
implementan dos de ellas con una energa total de:

2 = 2 6,120 Wh = 12,240 ( 40 )

Si las bateras estn descargadas para recargarlas la energa se debe obtener de los paneles
solares. Entonces si todos los usuarios se apagan o se colocan en estado de mnimo consumo

50
excepto los sistemas crticos el consumo de energa en modo de carga de bateras es como se
aprecia en la tabla 3-6:

Tabla 3-6 Presupuesto de energa (consumo mnimo): Modo carga de bateras.

Voltaje Corriente Potencia Tiempo de operacin Energa


Subsistema
[V] [mA] [mW] por orbita [min] [mWh]
OBC 5 40 200 97 107,78
MCU's y sensores 3,3 50 165 97 88,92
EPS Calentadores 5 150 750 30 375,00
Energa consumida por orbita (Stand-by) 571,69

La energa de carga para las bateras en cada orbita es:

= = 3,080 Wh 0,572 Wh ( 41 )

= 2,508 Wh ( 42 )

Entonces la energa total para recargar las dos bateras descargadas es:

2 12,240 Wh
= = = 15,300 Wh ( 43 )
0,8
Donde es la eficiencia del cargador.

El nmero de orbitas para recargar completamente las dos bateras desde una descarga total se
halla as:

15,300 Wh
= = ( 44 )
2,508 Wh
= 6,1 ( 45 )

En un da se tiene aproximadamente 15 orbitas del picosatlite, asumiendo que el picosatlite pasa


por encima de Colombia dos veces en un da, quedaran 13 orbitas para recargar bateras, rango
suficiente para completar cmodamente la carga de las dos bateras.

3.2.4.2 Circuito de Control de Carga

51
Este tipo de bateras de Li-Ion requieren circuitos de control para regular la temperatura, y circuitos
de control para el inicio y terminacin de carga. Aunque un circuito de carga aade una prdida de
potencia se ha visto en previas misiones de otros picosatlites que se debe contar con sistemas de
carga para proteger a las bateras contra sobrecargas, sobre-descargas o sobre-temperaturas, el no
tener estos circuitos de proteccin se llega a una mayor degradacin de la batera y/o fallas graves.

La autentificacin de la batera es un concepto introducido con el auge de las clulas de ion de litio.
Este tipo de bateras al no tener efecto memoria, requieren de una validacin por voltaje mnimo y
temperatura de operacin. Se tiene que el voltaje mnimo de una batera es aproximadamente 3.0V
y la temperatura de operacin est en el rango comprendido entre 0 y 50 Celsius para los ciclos de
carga y -20 y 60 Celsius para los ciclos de descarga.

La carga de las bateras de Ion de Litio se realiza en tres fases: la fase de acondicionamiento, la fase
de regulacin de corriente constante y voltaje variable, y finalmente la fase de regulacin de voltaje
constante y corriente variable como se muestra en la figura 3-4.

Figura 3-4 Modelo de carga en tres fases para bateras de ion de litio.
Fuente: DISEO PROPIO.

Para el circuito de carga de la batera se eligi el BQ24070 del fabricante Texas Instruments que es
un cargador lineal altamente integrado para bateras Li-ion o Li-Ion-Poly que cuenta con un sistema
de administracin de camino de potencia (figura 3-5) enfocado a aplicaciones porttiles de espacio
limitado. Este cargador de bateras cuenta con las siguientes caractersticas:

Encapsulado VQFN pequeo de 3,5 mm x 4,5 mm.


Diseado para bateras de Li-Ion/Li-Poly de celda nica.
Administracin Dinmica del Camino de Potencia integrado (DPPM por sus siglas en ingls
Dynamic Power-Path Management), caracterstica que permite a la fuente de poder externa
alimentar simultneamente el sistema y cargar la batera.
Modo suplementario de potencia que permite a la batera complementar a la fuente de poder
externa cuando esta no sea suficiente para suplir la demanda.
Seleccin de fuente de alimentacin autnoma (a la fuente de poder externa o Batera).
Soporta hasta 2 A de corriente total.

52
Regulacin trmica para el control de carga.
Salidas de estado que indican las condiciones de carga.
Protecciones trmicas, y proteccin contra corrientes inversas.
Salida al sistema de 4,4 V regulados.

La figura 3-5 muestra el circuito implementado en el Mdulo de Potencia para el control de carga de
la batera utilizando el BQ24070.

Figura 3-5 Diagrama esquemtico del circuito para el cargador de bateras.


Fuente: DISEO PROPIO.

El dispositivo de control de carga BQ2407x alimenta al sistema mientras independientemente carga


la batera. Esta caracterstica reduce los ciclos de carga y descarga en la batera, permite una
apropiada terminacin de carga, adems el sistema puede funcionar con una batera defectuosa o
en ausencia de ella. El sistema tiene prioridad lo que permite que este se encienda aun con una
batera completamente descargada. El dispositivo trabaja de la siguiente manera:

System Power: En este caso la carga es alimentada directamente desde la entrada a travs del
transistor Q1 (ver Figura 3-6). La salida es regulada a 4.4 V. Si la carga excede la capacidad de la
fuente de entrada, el voltaje de salida cae al valor del voltaje de la batera.

53
Figura 3-6 Diagrama esquemtico simplificado sistema DPPM del cargador de bateras.
Fuente: http://www.ti.com/product/BQ24070/datasheet/abstract#SLUS69467

Control de Carga: Cuando est en modo IN, la batera se carga a travs del interruptor Q2 (figura 3-
6) a una tasa de carga configurada por una resistencia RSET conectada entre los pines ISET1 y
VSS.

( 46 )
=

Los parmetros VSET y KSET estn especificados en la hoja de datos del fabricante y sus valores
tpicos son VSET = 2,5 V y KSET = 425. Para obtener una corriente de carga por debajo de 1 A se
escoge RSET de 1,2 k

2,5 V 425
= = 0,885 A ( 47 )
1,2 k
La corriente de precarga se da en la fase de pre-acondicionamiento y su valor se obtiene de la
siguiente ecuacin:

250 425
= = = 88,5 ( 48 )
1,2 k
Que es el 10 % del valor de la corriente de carga.

Administracin Dinmica de Camino de Potencia (DPPM: Dynamic Power-Path Management): Esta


caracterstica monitorea el voltaje de salida (voltaje del sistema) en busca de prdida de potencia de
entrada debido a limitacin de corriente, o la retirada de la alimentacin de entrada. Si el voltaje en
el pin OUT cae a un valor preestablecido, VDPPM SF (ver hoja de especificaciones), debido a una
cantidad limitada de corriente de entrada, entonces la corriente de carga de la batera se reduce
hasta que el voltaje de salida deja de caer. El control DPPM trata de llegar a una condicin de estado
estable en el que el sistema obtiene la corriente necesaria y la batera se carga con la corriente
restante. Si el sistema exige ms corriente de lo que la entrada puede proporcionar, la tensin de
salida cae justo por debajo de la tensin de la batera y Q2 (figura 3-6) se enciende para
complementar con la batera la corriente de entrada al sistema.

54
DPPM tiene tres ventajas principales: Permite seleccionar una fuente de energa de ms baja
potencia, si la carga promedio del sistema es moderada en comparacin con su potencia
mxima. Por ejemplo, si la carga mxima del sistema es 1,75 A, la carga media del sistema es 0,5
A y la corriente de carga rpida de la batera es de 1,25 A: la demanda total de pico podra ser 3 A.
Con DPPM se podra seleccionar un adaptador de 2 A en lugar de un suministro de 3 A. Durante la
carga mxima del sistema a 1,75 A, el voltaje de la entrada cae hasta que la tensin de salida alcanza
el umbral de tensin DPPM. La corriente de carga se reduce hasta que no hay ms cada en la
tensin de salida. El sistema consigue su 1,75 A y la corriente de carga de la batera se reduce de
1,25 a 0,25 A. Cuando la carga pico del sistema cae a 0,5 A, la corriente de carga vuelve a 1 A y la
tensin de salida vuelve a su valor normal.

El uso de DPPM proporciona un ahorro de energa en comparacin con las configuraciones sin
DDPM. Sin DPPM, si la corriente del sistema ms la corriente de carga exceden el lmite de corriente
de suministro, entonces la salida cae hacia a la batera. Los cargadores lineales disipan la energa
no utilizada ( ) . La corriente se mantiene alta (al lmite de corriente) y la cada de
tensin es grande para una mxima disipacin de potencia. Con DPPM, la cada de tensin es menor
( ) lo que significa menor disipacin de potencia, para una mayor eficiencia. La eficiencia
para cargar la batera es la misma para ambos casos. Las ventajas incluyen menos disipacin de
energa, ms baja la temperatura del sistema, y una mejor eficiencia global.

Si es posible, el DPPM sostiene el voltaje del sistema, no importa que produzca la cada. DPPM hace
esto mediante la reduccin de la carga de batera no crtica mientras mantiene la potencia de salida
mxima desde la entrada.

El valor de VDPPM se programa de la siguiente manera

= ( 49 )

Donde
RDPPM es una resistencia externa conectada entre los pines DPPM y VSS
IDPPM es una fuente de corriente interna con un valor tpico de 100 A.
SF es un factor de escala con un valor tpico de 1,15

Para un voltaje VDPPM de 3,6 V se necesita una resistencia de aproximadamente 30,9 k

Monitoreo de temperatura de la batera: El BQ2407x monitoriza continuamente la temperatura de la


batera mediante la medicin de la tensin entre los pines TS y VSS. Una fuente de corriente interna
alimenta un termistor de coeficiente de temperatura negativo de 10 k (vase Figura 3-7). El
dispositivo compara el voltaje en el pin TS con umbrales internos y para determinar si se
permite la carga. Si la temperatura est fuera de rango, el dispositivo suspende inmediatamente la
carga, esto se hace apagando el FET de potencia y manteniendo el valor del temporizador (es decir,

55
los temporizadores no se restablecen). La carga se reanudar cuando la temperatura vuelve a la
normalidad. El rango de temperatura permitida para un termistor tipo 103AT es de 0C a 45C.

Figura 3-7 Monitoreo de temperatura de batera


Fuente: http://www.ti.com/product/BQ24070/datasheet/abstract#SLUS69467

Operacin del temporizador de carga: Como respaldo de seguridad, el BQ2407x supervisa el tiempo
de carga en el modo de carga. Si el umbral de finalizacin no es detectado dentro del perodo de
tiempo, tCHG, el bq2407x apaga el cargador y anuncia un FALLO en los pines de STAT1 y STAT2.
La resistencia conectada entre el TMR y VSS, RTMR, determina el perodo de tiempo. El parmetro
KTMR se especifica en la hoja de especificaciones del fabricante. El valor de RTMR debe estar entre
30 k y 100 k. Se escoge un valor de 56 k

s
= = 0,36 56 k = 20160 s = 5,6 h ( 50 )

3.2.4.3 Sistema Calentamiento Bateras

El picosatlite deber soportar temperaturas extremas en el exterior que pueden ir desde los -40C
a los 85C como producto de la radiacin solar y la radiacin infrarroja directamente, y por los
momentos de eclipse. Todos cambios trmicos bruscos. Esto repercute en la temperatura interna del
picosatlite, que requiere antes que nada un nivel de aislamiento externo adecuado. Pero aun as
con un buen aislamiento, al interior del picosatlite las temperaturas podran variar en mrgenes no
deseables que puede afectar componentes crticos. A la seleccin de componentes electrnicos que
soporten mrgenes de temperatura amplios se debe disear un sistema de calefaccin interna para
protegerlos. El elemento ms crtico son las bateras de Li-Ion que son muy sensibles a los extremos
de temperatura, en especial las temperaturas bajas. Si las temperaturas son muy bajas el material
de las que estn hechas la bateras se cristaliza, y al contrario temperaturas altas degradan las
bateras por desgasificacin.

Existen dos formas de manejar la parte de control termal: una es mediante un sistema de calefaccin
pasiva, y la otra mediante un control de calefaccin activa. La primera tiene que ver como el calor es
transferido y disipado por la estructura, y el segundo aadiendo elementos activos como resistencias.

En muchos de los proyectos CubeSat no se requiere un control trmico activo pues mediante
estrategias de diseo de control trmico estructural se puede mantener a un CubeSat dentro de un

56
rango de temperaturas internas desde los -30C hasta los 10C (sin control trmico activo). En este
caso el factor ms importante a considerar en el diseo del control trmico pasivo es que los
diferentes subsistemas deben de tener terminales conductoras de calor para que puedan distribuir
la temperatura hacia las superficies del satlite en donde, mediante el uso de materiales especiales,
se consiga un buen control de las condiciones trmicas del picosatlite CubeSat. En el caso del
picosatlite experimental CubeSat UD Colombia 1 eso implicara un estudio ms complejo de
estructura y modelamiento trmico, elemento que no est dentro de los alcances del Mdulo de
Potencia.

En el caso de la batera es indispensable colocar un sistema de calefaccin activa para un control


efectivo de la temperatura.

Calentador de la batera. Buscando en el mercado se encontr como la mejor opcin calentadores


flexibles del fabricante OMEGA. Bsicamente la construccin de estos calentadores consta de un
elemento resistivo grabado como un trazo laminar de 0,0005 "o 0,0001" que est aislado mediante
una pelcula de Polymide (Kapton) de 0,002" que ofrece una alta resistencia a productos qumicos y
que tiene excelentes propiedades contra desgasificacin en entornos de alto vaco. (Figura 3-8)

El fabricante ofrece distintos tamaos o calentadores personalizados. Para las bateras que se van
a utilizar (DANIONICS DLP 375388-01) se seleccion la referencia KHLV-103/5P (figura 3-8) que
tiene las siguientes caractersticas bsicas:
Dimensiones: 1 in 3 in
Densidad mxima de potencia: 5 Win2
Potencia mxima: 15 W
Tipo de Adhesivo: FEP (para fijar el calentador a las bateras).
Voltaje de entrada calentador: hasta 60VDC
Corriente Nominal: desde 0,004 A hasta 4 A
Temperatura de operacin: desde -200 hasta +200C

Figura 3-8 Calentador colocado cobre una batera.


Fuente: FOTO PROPIA.

En la figura 3-9 se muestra el esquema final despus del anlisis: dos bateras independientes para
tener redundancia. Cada batera cuenta con su propio cargador y calentador. Los dos cargadores se
alimentan del mismo bus que proviene de la etapa de generacin de energa.

57
Figura 3-9 Sistema de almacenamiento de energa.
Fuente: DISEO PROPIO.

3.2.4.4 Tipos de control de temperatura - Control de temperatura DC

Es necesario realizar un control de temperatura para evitar prdidas de potencia, adems de


garantizar que el calor se va a distribuir de una manera ms homognea en la batera. Existen cuatro
tipos de control que se pueden utilizar para esta aplicacin. Ver figura 3-10 y la Tabla 3-7.

CONTROL CONTINO: este primer control corresponde a una alimentacin continua DC del
sistema de calefaccin. Presenta inconvenientes ya que si el calentador se calienta sin
regulacin hasta el punto que las prdidas de calor sean iguales al calor entrante y el consumo
de potencia sera un desperdicio para el sistema. Es importante que la temperatura del
calentador sea controlada para evitar el riesgo de quemarlo o de someter a una temperatura
exagerada las bateras. Otro de los inconvenientes es que con un nivel DC continuo un extremo
del calentador se calentara ms que el otro extremo, no distribuyendo el calor de forma
adecuada.
CONTROL ON/OFF: Este tipo de control es sencillo, por la histresis del controlador siempre
oscilara arriba y abajo del valor deseado, permite un arranque ms controlado y evita los daos
por exceso de temperatura.
CONTROL PROPORCIONAL: Es un controlador ms estable, con este controlador se puede
reducir la potencia de entrada para el calentador y lograr una respuesta ms estable y cercana
a la temperatura deseada.
CONTROL PID: Es el control que mejor resuelve el problema de la temperatura deseada, se
pueden manejar varios parametros de ajuste, pero es mas complejo.

Figura 3-10 Tipos de control de temperatura.


Fuente: imagen proporcionada por Sequoia Space.

Tabla 3-7 Voltaje de excitacin vs sensores entrada.

58
METODO DE CONTROL VOLTAJE DE EXCITACIN SENSORES DE ENTRADA
Control Continuo Mximo 60VDC Ninguno
Control On - Off 7 60VDC tpico 12VDC Termocupla, otro.
Control PID 7 60VDC tpico 12VDC Termocupla, otro
Fuente: Sequoia Space.

OPERACIN DEL CONTROL: El siguiente diagrama muestra un ejemplo como debe funcionar el
control de temperatura, con el sistema de control elegido. Figura 3.11.

Figura 3-11 Funcionamiento control de temperatura.


Fuente: imagen proporcionada por Sequoia Space.

Se acciona peridicamente el calentador, solo un tiempo suficiente para realizar la comprobacin


de los siguientes parmetros: de resistencia, para conocer el estado del calentador, temperatura
para comprobar si la medicin est por encima o por debajo del nivel deseado.
Si la medicin de temperatura est por debajo del punto deseado el control debe enviar una serie
de pulsos hasta que se alcance este punto. El control debe monitorear si la temperatura
sobrepasa el punto deseado, para tomar el correctivo y estabilizarla.
El tiempo de lectura lo puede fijar el diseador del control pero se sugiere que este punto no
sobrepase los 10 segundos. Todo depende del criterio del diseador en cuanto al ahorro de
energa, a mayor cantidad de mediciones tomadas, aqu el control es ms estricto, mientras que
con menos mediciones existe la posibilidad de ahorro de energa en los estados de reposo.

3.2.5 Subsistema de Generacin y Acondicionamiento de la Energa

3.2.5.1 Generacin

La energa elctrica que utiliza el picosatlite es generada por un arreglo de seis paneles solares
dispuestos en las caras externas del satlite. Cada panel solar est conformado por un arreglo de
dos celdas en serie (figura 3-12).

Cuando una celda est en la oscuridad se comporta como un diodo rectificador en conduccin directa
lo cual representa una prdida de potencia en este arreglo. Para solucionar dicho problema se coloca
un diodo de bloqueo en cada arreglo en serie con el fin de bloquear la corriente hacia los paneles y
as evitar el consumo de potencia por parte de las celdas. (Figura 3-12).

59
Figura 3-12 Configuracin de las celdas fotovoltaicas en el panel solar.
Fuente: AGUILAR PIRACHICN Clara Milena y AMAYA CAMARGO Andrs Augusto. Estudio del Sistema de Celdas
Fotovoltaicas para la Implementacin de los Paneles Solares del CubeSat Colombia 1.

Otra circunstancia que se presenta en el espacio es la posible ruptura de un panel o el posible


malfuncionamiento de est. Con el dao de una celda, todo el arreglo, es decir, 2 celdas en serie,
dejaran de funcionar aun si slo se rompe una celda de este arreglo. Para asegurar el
funcionamiento de la celda que no ha sido afectada, se utiliza el diodo de Bypass. Este diodo
conectado en paralelo garantiza un camino alternativo para la corriente. (Figura 3-12).

El voltaje proporcionado por cada celda funcionando en mxima potencia al inicio de su vida til
(BOL por las siglas en ingls) es de

= 2,371 V ( 51 )

Con un factor de degradacin al final de su vida til (EOL) de 0,93 se tiene, de acuerdo a la hoja de
especificaciones de la celda se tiene:

= 0,93 = 2,205 V ( 52 )

Para calcular el voltaje entregado por el panel se debe tener en cuenta el diodo de bloqueo, que es
un diodo Schottky con una cada de voltaje de 0,3 V y otras consideraciones de operacin.

Al inicio de su vida til el panel con las celdas operativas entregar un voltaje a mxima potencia

= 2 V ( 53 )

= 2 2,371 0,300 = 4,442 ( 54 )

Este sera el mejor caso, ver figura 3-13:

60
Figura 3-13 Funcionamiento del panel solar.
Fuente: AGUILAR PIRACHICN Clara Milena y AMAYA CAMARGO Andrs Augusto. Estudio del Sistema de Celdas
Fotovoltaicas para la Implementacin de los Paneles Solares del CubeSat Colombia 1.

El peor caso (figura 3-14) del panel funcionando se presenta al final de la vida til de las celdas
solares y si se daa una celda dentro del arreglo:

= V V ( 55 )

= 2,205 0,300 0,300 = 1,605 ( 56 )

Figura 3-14 Funcionamiento del panel solar con una celda defectuosa.
Fuente: AGUILAR PIRACHICN Clara Milena y AMAYA CAMARGO Andrs Augusto. Estudio del Sistema de Celdas
Fotovoltaicas para la Implementacin de los Paneles Solares del CubeSat Colombia 1.

3.2.5.2 Acondicionamiento de Energa

En el apartado anterior analizamos el peor caso en la generacin de energa elctrica observando


como el voltaje entregado por los paneles solares varia. Ya que la potencia entregada por los paneles
solares varia por cambios en el voltaje y/o corrientes dependientes de condiciones como la
iluminacin solar, la temperatura, fallas o degradacin de las celdas solares, se hace necesaria una
etapa en la entrada en el Mdulo de Potencia para manejar un amplio rango de variacin de voltaje
de entrada y entregar un voltaje estable al resto del Mdulo de Potencia, y para asegurar la mxima
transferencia de potencia proporcional al consumo exigido por la carga.

La etapa de entrada es un conversor DC-DC que normalmente conmuta a alta frecuencia, en el cual
ajustando el ciclo til de trabajo se ajusta el nivel determinado de voltaje de salida.

61
En el caso del picosatlite experimental CubeSat UD Colombia 1 se debe asegurar un nivel de salida
en el conversor DC-DC estable para ser utilizado en otras etapas como por ejemplo en la carga de
bateras.

Una forma de aprovechar al mximo la potencia elctrica que puede entregar los paneles solares es
mediante la incorporacin de un sistema de Seguimiento del Punto de Mxima Potencia o MPPT por
sus siglas en ingls para optimizar la gestin de energa.

Un circuito MPPT controla el punto en que los paneles solares entregan la mxima energa elctrica
posible haciendo un balance entre corriente y voltaje. Esto se hace midiendo o comparando la
ganancia en potencia entre la salida de la primera etapa del Mdulo de Potencia y su entrada (salida
de los paneles solares) mediante pequeos desplazamientos en el voltaje de salida hacia arriba o
hacia abajo, ajustando del ciclo til de un conversor DC-DC proporcional al consumo de los usuarios.

A los sistemas que no emplean MPPT se le denominan DET por las siglas en ingles de Transferencia
de Energa Directa. La utilizacin del DEP va de acuerdo a las necesidades y requerimientos del
picosatlite. Pero tiene dos desventajas: la primera de ellas es que no se optimiza el uso de la
energa; la segunda desventaja es que un excedente de energa debe ser disipada y eso en un
picosatlite se traduce en problemas de sobrecalentamiento.

Para la regulacin de la potencia de entrada se disponen de tres circuitos en paralelo (figura 3-15)
que permite tener redundancia en el sistema de entrada de energa. Se utilizan tres, uno para cada
par de caras opuestas en el picosatlite experimental CubeSat UD Colombia 1. Esto se hace as
para maximizar la energa proveniente de cada panel. Si se usara un solo regulador no podra
obtener el mximo de cada panel ya que estos estaran en puntos de operacin diferentes. Adems
un dao en un nico regulador aislara los paneles del resto de las etapas del mdulo de Potencia.
Se podra pensar entonces que son necesarios 6 reguladores de entrada, pero con tres reguladores
se realiza el trabajo, porque cuando una cara del satlite es iluminada directamente por el sol, la
cara contraria en el mismo eje se encuentra en la oscuridad y no produce energa (sin tener en
cuenta albedo e infrarrojo).

Figura 3-15 Etapa de Entrada Final.


Fuente: DISEO PROPIO.

62
Para estos reguladores de entrada se elige un dispositivo altamente integrado de la familia TPS6120x
del fabricante Texas Instruments. Especficamente es el Circuito Integrado TPS61200 de voltaje
ajustable, y el TPS61202 (figura 3-16) que es la versin fija del primero, fijado internamente a 5,0 V
en la salida, es un circuito conversor elevador DC-DC conmutado tipo BOOST, enfocado al
suministro de energa para bateras Alkalinas, de NiCd o NiMH, de una, dos o tres celdas, o para
bateras de Li-Ion o Li-Ion-Polmero de una celda, a partir de fuentes de energa de bajo poder como
son las celdas solares.

Puede operar con rangos de voltaje de entrada que van desde los 0,7 V hasta los 5,5 V,
proporcionando un voltaje de salida de 5,0 V. Suministrando una corriente tpica de salida de hasta
600 mA en modo normal, manteniendo una eficiencia superior al 90%, aunque la corriente de entrada
promedio se limita automticamente a un valor mximo de 1,5 A, un solo regulador, no llegara ni
siquiera a 1,1 A si por alguna razn los paneles opuestos estuvieran iluminados. Este regulador
puede entregar incluso corrientes de salida tan bajas como 10 mA

Figura 3-16 Regulador de Entrada utilizando TPS61202.


Fuente: http://www.ti.com/lit/ds/symlink/tps61202.pdf

El funcionamiento de este dispositivo se basa en una topologa de modo de corriente promedio por
trenes de pulsos PWM de frecuencia fija y controlador de rectificacin sncrona para obtener mxima
eficiencia. Cambios en el voltaje de entrada y salida son monitoreados y se puede inmediatamente
cambiar el ciclo til en el modulador para lograr obtener una rpida respuesta a estos errores. El
circuito controlador adems sensea la corriente de entrada promedio, as como la corriente pico de
entrada. Por tanto la mxima potencia de entrada es controlada as como el mximo pico de corriente
para conseguir una operacin segura y estable bajo todas las condiciones posibles21.

21
Para ms detalles referenciarse a la hoja de especificacin del circuito integrado en el anexo TPS61202, pgina de la Texas Instruments.

63
Para asegurarse de que los dispositivos TPS6120X, funcionen de manera adecuada, debe ser
conectado un inductor entre los pines de VIN y L. Para estimar el valor mnimo de inductancia, se
utiliza la siguiente ecuacin:


= 0,4 ( 57 )


= 4,4 0,5 ( 58 )

= 2,2 ( 59 )

Se calcula la inductancia mnima, LMIN, para funcionamiento en modo boost, donde VIN es la tensin
mxima de entrada. El rango de valores del inductor recomendada es de entre 1,5 uH y 4,7 uH. El
valor mnimo del inductor no debe estar por debajo de 1,5 uH, Para este integrado se recomienda el
uso de 2,2 uH conseguir el mejor rendimiento en todo el rango de entrada y la tensin de salida.

Con el valor de la inductancia elegido, la corriente de pico para el inductor en funcionamiento en


estado estacionario se puede calcular utilizando

( )
= + ( 60 )
0,8 2
5,0 , 5 5,0 (5,0 1,7)
= + = 2,35 ( 61 )
0,8 1,7 2 5,0 1,45 MHz 2,2 H
Este sera el valor crtico para la corriente nominal para la seleccin del inductor. Tambin hay que
tener en cuenta que los transitorios de carga y las condiciones de error pueden causar corrientes de
inductor superiores. Se escoge la referencia LPS4018-222MR del fabricante Coilcraft. Tabla 3-8

TABLA 3-8 CIRCUITO TPS61202. Inductor utilizado: LPS4818-222MR Coilcraft.

L(uH) ISAT (A) IRMS(A) DCR (m) TMAX Area (mm2) l (mm) h (mm)

2.20 2.90 2.00 70.00 125C 16.81 4.10 1.80

Fuente de la imagen: Coilfraft.

Para el condensador de entrada se recomienda utilizar un condensador de entrada de al menos 4,7


F para mejorar el comportamiento transitorio del regulador y el comportamiento de la EMI total del
circuito de la fuente de alimentacin. Se recomienda un condensador cermico X5R o X7R colocado
lo ms cerca posible a VIN y PGND del IC.

Para el condensador de salida, se recomienda utilizar pequeos condensadores cermicos X5R o


X7R colocados lo ms cerca posible a los pines VOUT y PGND del IC. Si, por cualquier razn, la

64
aplicacin requiere el uso de grandes condensadores que no se pueden colocar cerca del integrado,
se requiere el uso de un condensador cermico ms pequeo en paralelo al grande. Este pequeo
condensador debe colocarse lo ms cerca posible a los pines VOUT y PGND del IC.

Para obtener una estimacin de la capacitancia de salida mnima recomendada, puede ser utilizada
la siguiente ecuacin.

F
= 5 ( 62 )
H
Con la inductancia elegida, se obtiene una capacitancia mnima de salida de

= 11 F ( 63 )

No hay requisitos adicionales en relacin a ESR (resistencia serie equivalente) mnima. Tampoco
hay lmite superior para el valor de la capacitancia de salida. Grandes condensadores provocan un
rizado menor en la tensin de salida, as como mejor comportamiento ante transientes.

La tabla 3-9 muestra los condensadores usados en cada regulador de entrada.

TABLA 3-9 Etapa de entrada. TPS61202. Condensadores utilizados.

Condensador Cantidad Tamao Valor Voltaje Tolerancia Rango de Temperatura


1 0603 0,1 F 16 5% X7R
CIN
1 0805 10 F 10 20 % X5R
1 0603 0,47 F 16 5% X7R
COUT
2 0805 22 F 10 20 % X5R

3.2.6 Subsistema de Regulacin.

Reguladores DC-DC conmutados son utilizados principalmente por la alta eficiencia en la conversin
de energa y su capacidad de elevar, reducir e invertir seales. En el apartado anterior se mostr la
utilizacin de un conversor DC-DC conmutado para acondicionar la energa entrante desde los
paneles solares y para fijar un voltaje de salida apto para la carga de las bateras.

Los usuarios del picosatlite experimental CubeSat UD Colombia 1 requieren de dos buses de
tensin regulada y estos son generados por medio de conversores DC-DC que sean capaces de
suministrar corrientes mximas de consumo tal como se observa en la tabla 3-5. Los buses de
tensin regulada necesarios son de 3,3 V y de 5,0 V. El suministro de potencia elctrica para los
subsistemas del picosatlite debe asegurarse sin importar que la fuente de energa provenga de los
paneles solares y/o de las bateras.

65
En el mercado existen circuitos conversores DC-DC altamente integrados enfocados a la industria
tecnolgica proporcionados por diversos y reconocidos fabricantes de semiconductores, evitando as
montar circuitos totalmente discretos que ocupan espacio (recordemos que el espacio fsico y el peso
son recursos a optimizar). El principal parmetro fsico a tener en cuenta para estos componentes
es el rango de temperaturas que van a soportar, y por supuesto el rango de voltaje entrada y salida
y corriente que soportan. Deben ser componentes en donde el nivel de desgasificacin sea mnimo
y por las caractersticas del espacio exterior que no explosionen.

3.2.6.1 Bus de 5,0 V

Como se ha analizado el cargador de bateras proporciona voltajes de salida que varan entre los
3.0 V y los 4.4 V. Para poder entregar un bus de usuario a 5.0V totalmente regulados se utiliza un
circuito elevador de voltaje. Para cumplir este requerimiento se preselecciona dos referencias de
reguladores conmutados de tipo inductivo del fabricante Texas Instruments: el TPS63020 utilizado
en la versin 1 del mdulo de potencia y el TPS61032 para la versin final. No se utilizan del tipo
Charge Pump (capacitivos) porque suministran bajas potencias.

El Circuito Integrado TPS63020 (Ver figura 3-17), es un regulador conmutado tipo buck-boost, que
proporciona un nivel de voltaje de bus ajustable, y es capaz de suministrar corrientes tan altas como
4 A, todo a travs de un encapsulado de 3 mm por 4 mm. Tienen proteccin contra sobre-corriente
y proteccin trmica, as como un limitador de corriente de salida. Hace la transicin automtica entre
el modo reductor, y el modo elevador.

Figura 3-17 Regulador TPS63020.


Fuente: http://www.ti.com/lit/ds/symlink/tps63020.pdf

En la versin 3 del mdulo de potencia se utilizan dispositivos TPS6103x (figura 3-18) que
proporcionan una solucin de fuente de alimentacin para los circuitos alimentados por una sola
clda de Li-Ion o Li-Ion-Polmero. El conversor genera un voltaje de salida estable que se ajusta por
un divisor resistivo internamente fijado en el chip. Es capaz de suministrar corrientes de salida de
1.0 A a 5.0V con una tensin de alimentacin (entrada) de solo 1,8 V. El conversor de boost esta
basado en frecuencia fija, con variacion del ancho de pulso (PWM) utilizando un rectificador sncrono
para obtener la mxima eficiencia. En corrientes de baja carga del conversor entra en modo de
ahorro de energa para mantener una alta eficiencia en una amplio rango de corriente de carga. El
pico mximo de corriente es limitado por el dispositivo a un valor de 4500 mA. El conversor se puede

66
desactivar para minimizar el consumo de batera, tambien tiene un detector de nivel bajo de bateria
en la entrada. Durante el apagado, la carga se desconecta totalmente de la entrada. Un modo de
bajo EMI se implementa para reducir tanto el rizado como la energa electromagntica radiada
cuando el conversor entra en el modo de conduccin discontinua.

Figura 3-18 Regulador TPS61032


Fuente: http://www.ti.com/lit/ds/symlink/tps61032.pdf

Para el clculo de los componentes, se tienen los siguientes parmetros (tabla 3-10):

TABLA 3-10 Calculo componentes, parmetros.

Parmetros de Diseo Valores


Rango de Voltaje de Entrada 3,0 V a 4,4 V
Rizado de Voltaje de Salida +/- 2%
Corriente de Salida 2A
Frecuencia de Operacin 600 kHz

Este regulador entrega un voltaje fijo de 5,0 V a la salida, por tanto, no se requieren elementos
externos para ajustar el voltaje de salida.

El circuito detector de batera baja (LBI) se suele utilizar para supervisar el voltaje de la batera y
para generar un indicador de error (LBO) cuando el voltaje de la batera cae por debajo de un voltaje
umbral establecido por el usuario. Se escoge un voltaje de batera mnimo VBAT de 2,7 V, pare evitar
que se descarguen las bateras. El valor de VLBI es de 500 mV y el valor de R4 se escoge en el rango
de 500 k. As con un valor de R4 de 365 k se obtiene

2,7
2 = 4 ( 1) = 365 ( 1) = 1,6 ( 64 )
500

67
El pin LBO (indicador de bajo voltaje en la entrada) requiere una resistencia de Pull-Up, se
recomienda un valor de 1 M.

Un convertidor elevador normalmente requiere dos principales componentes pasivos para almacenar
energa durante la conversin. Se requiere un inductor de y un condensador de almacenamiento en
la salida. Para seleccionar la inductancia, se recomienda mantener el posible pico de corriente de
inductor por debajo del umbral de lmite de corriente del integrado. As, el umbral lmite de corriente
del interruptor de la TPS61032 es 4,5 A. La corriente pico ms alto a travs del inductor y el
interruptor depende de la carga de salida, la entrada (VBAT), y la tensin de salida (VOUT). Una
estimacin de la corriente mxima del inductor media se puede hacer usando la siguiente ecuacin,

5,0
= ( )=2( ) = 4,16 ( 65 )
0,8 3,0 0,8
El segundo parmetro para elegir el inductor es el rizado en la corriente deseada en el inductor.
Normalmente, es conveniente trabajar con un rizado de menos de 20% de la corriente media del
inductor. Una rizado ms pequeo reduce las prdidas por histresis magntica en el inductor, as
como el rizado de la tensin de salida y EMI. Pero de la misma manera, el tiempo de regulacin a
los cambios de carga se eleva. Adems, un inductor ms grande aumenta los costes totales del
sistema. Se toma un rizo de 10 %, as IL = 0,42 V Con estos parmetros, es posible calcular el valor
para el inductor mediante el uso de la Ecuacin xxx:

( ) 3,0 (5,0 3,0 )


= = 5 H ( 66 )
0,42 600 kHz 5,0
Con la inductancia calculada y los valores de corriente, es posible elegir un inductor adecuado. En
este caso se obtuvo una inductancia de aproximadamente 5 H. En aplicaciones tpicas se
recomienda una inductancia de 6,8 H, siendo este ltimo el valor elegido. Se escoge la referencia
XAL6060-682ME del fabricante Coilcraft. Tabla 3-11.

TABLA 3-11 regulador Bus 5.0 V. Inductor UTILIZADO: XAL6060-682ME. Coilcraft.

L(uH) ISAT (A) IRMS(A) DCR (m) TMAX Area (mm2) l (mm) h (mm)

6,8 9,2 7,0 18,90 125C 41.3 6,56 6,1

Fuente de la imagen: Coilfraft.

Clculo de condensadores: para la entrada se recomienda al menos un condensador de 10 F para


mejorar el comportamiento transitorio del regulador y el comportamiento de la EMI total del circuito
de fuente de alimentacin. Se recomienda adems un condensador de cermica 100 nF en paralelo,
colocado cerca del integrado.

El principal parmetro necesario definir el condensador de salida es el rizado mximo permitido de


tensin de salida del convertidor. Este rizo est determinado por dos parmetros del condensador,

68
la capacitancia y la ESR. Es posible calcular la capacitancia mnima necesaria para la ondulacin
definida, suponiendo que la ESR es cero, mediante el uso de:

( )
= ( 67 )

Para un valor de V de 10 mV, se obtiene una Cmin de 133 F. El rizado total es mayor debido a la
ESR del condensador de salida. Este componente adicional del rizado se puede calcular utilizando

= ( 68 )

Se escoge para la capacitancia de salida la referencia T495D337K010T010 del fabricante KEMET


con las siguientes caractersticas, tabla 3-12:

TABLA 3-12 Condensador de Tantalum de bajo ESR bara minimizar el rizado en el BUS de 5.0 V.

C(uF) Vmax Tolerancia. ESR Temperatura


330 10 V 10 % 10 m -55C a 125C
Fuente de la imagen: Kemet.

= 2 10 m = 20 mV ( 69 )

= + = 10 mV + 20 mV = 30 mV ( 70 )

En paralelo con este condensador se recomienda poner uno pequeo de 2,2 uF cermico, lo ms
cerca de los pines de VOUT y PGND.

3.2.6.2 Bus de 3,3 V

El cargador de bateras proporciona voltajes de salida que varan entre los 3,0 V y 4,4 V. Para poder
entregar un bus de usuario a 3,3 V totalmente regulados se utiliza un circuito reductor de voltaje. Se
tiene la opcin de manejar un regulador conmutado o uno lineal. Para cumplir este requerimiento se
preselecciona dos referencias del fabricante Texas Instruments: el TPS63001 (conmutado) y el
TPS79533 (lineal).

El Circuito Integrado de la Texas Instruments TPS63001 (figura 3-19) proporciona alimentacin a


buses de usuarios a 3,3 V, para sistemas basados en bateras alcalinas, de NiCd o NiMH, de una,
dos o tres celdas, y bateras de Li-Ion o Li-polmero de una celda. Tiene corrientes de salida de hasta
1,2 A. El funcionamiento del conversor buck-boost tiene como base una frecuencia fija, a travs de
Modulacin por Ancho de Pulso (PWM), y es controlado usando rectificacin sncrona para obtener
la mxima eficiencia. En cargas de baja corriente, el conversor entra en modo de ahorro de energa
para mantener una alta eficiencia en un amplio rango de corrientes de carga. El modo de ahorro de
energa puede ser desactivado, forzando al conversor a funcionar a una frecuencia de conmutacin
fija. La corriente mxima de salida se limita a 1,8 A. La tensin de salida se programa usando un

69
divisor de resistencia externa, o interna en caso de las versiones fijas. El conversor se puede
desactivar para reducir la descarga de la batera. Durante el apagado, la carga se desconecta de la
batera.

Figura 3-19 TPS63001 de Texas Instruments para Bus de 3.3V.


Fuente: http://www.ti.com/lit/ds/symlink/tps63001.pdf

La familia de reguladores lineales de baja cada de tensin (LDO) TPS795xx (figura 3-20) utilizados
en la versin 3 del Mdulo de Potencia tienen una alta relacin de rechazo seal a ruido (PSRR),
ruido ultra-bajo, rpida puesta en marcha, y una excelente respuestas a los transientes de lnea y de
carga. Estos dispositivos son estables utilizando un pequeo condensador externo de cermica 1 F
en la salida. Esta familia de dispositivos est hecha con un proceso avanzado llamado BiCMOS para
producir cadas de voltajes extremadamente bajas. Por otra parte, cuando el dispositivo se coloca
en el modo de espera, la corriente de alimentacin se reduce a menos de 1 A. Las aplicaciones de
este circuito integrado se relacionan con componentes analgicos que son sensibles al ruido, como
la electrnica de RF porttiles, se benefician de las caractersticas de bajo ruido alto PSRR y, as
como del tiempo de respuesta rpido. Su implementacin es sencilla porque requiere de pocos
componentes externos.

Figura 3-20 Regulador LDO TPS79533.


Fuente: http://www.ti.com/lit/ds/symlink/tps795.pdf

70
3.2.7 Subsistema de Distribucin y Proteccin.

Se requiere de un sistema de distribucin de potencia elctrica y proteccin del usuario. Entre las
caractersticas a tener en cuenta est el de iniciar o apagar de forma correcta, y sin cambios bruscos
sobre los usuarios. As que las tareas que debe cumplir este subsistema son:

Distribucin para poder controlar los usuarios (habilitar/deshabilitar) para dar prioridad de
consumo en determinados casos de operacin.
Proteccin a los usuarios contra eventos como sobrecorrientes o cortocircuitos, sobrevoltajes o
sobretemperatura.

Para llevar a cabo las anteriores tareas se debe administrar dos tipos de seales en los circuitos de
la etapa de salida que son: las seales de estado para monitorizar los eventos, y las seales de
control para tomar decisiones y actuar sobre el suministro de potencia elctrica de los usuarios, esto
es habilitar o deshabilitar usuarios. Esto implica un sistema centralizado en la OBC o descentralizado
a partir de un microcontrolador en el mismo Mdulo de Potencia.

Los componentes seleccionados son de la familia TPS20xx (figura 3-21) del fabricante Texas
Instruments que son interruptores basados en MOSFET que permiten la distribucin de energa y
estn diseados para aplicaciones donde es muy probable que presente pesadas cargas capacitivas
y/o cortocircuitos. Estos dispositivos incorporan interruptores MOSFET de potencia canal N de
valores cercanos a los 70 m, y son controlados por una entrada digital habilitadora compatible con
tecnologa CMOS-TTL. El rango de funcionamiento va desde 2,7 V hasta 5,5 V en el voltaje de
entrada. El rango de temperatura de operacin desde -40C hasta 120C.

Cuando la carga de salida excede el umbral lmite de corriente el dispositivo limita la corriente a un
nivel seguro; si se presenta un cortocircuito limita temporalmente la corriente a un valor lmite al
cambiar a un modo de corriente constante, colocando una seal lgica baja en el pin de salida que
indica sobrecorriente (
OCx ). Cuando continas sobrecargas y cortocircuitos se presentan se
aumenta la disipacin de energa en el interruptor, haciendo que la temperatura de la juntura
aumente, un circuito de proteccin trmica apaga el interruptor para evitar daos. La recuperacin
de un apagado trmico es automtica cuando el dispositivo se haya enfriado lo suficiente. Circuitos
internos aseguran que el interruptor permanezca apagado hasta que un voltaje de entrada vlido
est presente (ver Figura 3-21).

Figura 3-21 Familia TPS20XX de Texas para Proteccin y Distribucin a usuarios.


Fuente: http://www.ti.com/lit/ds/symlink/tps2051b.pdf

71
3.2.8 Esquema Final

En la Figura 3-22 se muestra el esquema inicial de etapas de diseo de la EPS a partir de los
resultados del anlisis obtenidos y a partir de diferentes aspectos de la EPS como son la preseleccin
de componentes, las funciones que debe cumplir, los requerimientos energticos, la disponibilidad
de energa y el almacenamiento, la estructura interna de la EPS, las normas del estndar CubeSat
y las necesidades de la misin. As se plantea las diferentes etapas que nos van a permitir
acondicionar, almacenar, transferir y distribuir la energa elctrica en el picosatlite experimental
CubeSat UD Colombia 1. As mismo en la figura 3-22 se distingue las cuatro etapas: la etapa de
entrada o acondicionamiento, la etapa de carga de bateras, la etapa de generacin de buses de
usuario y la etapa de distribucin y proteccin de usuario.

Figura 3-22 Esquema Inicial Mdulo de Potencia.


Fuente: DISEO PROPIO.

72
4 DISEO E IMPLEMENTACIN DE HARDWARE

En este captulo se muestra el proceso de diseo e implementacin del Mdulo de Potencia. Se


inicia por mostrar los requerimientos especficos que se debe cumplir para el diseo, incluyendo la
distribucin de pines del conector entre mdulos. Se describe luego el software utilizado para el
diseo circuital, esquemticos y la PCB. Se adentra luego en la implementacin de las tarjetas de
circuito impreso de validacin que evaluar los circuitos integrados a utilizar, esto para verificar su
correcto funcionamiento con base en las hojas de especificaciones del fabricante de los chips. A
partir de los resultados se disea e implementa una primera versin del mdulo de Potencia, que es
el prototipo de pruebas. Se revisan los resultados de las pruebas de este prototipo o versin 1 del
Mdulo de Potencia para un proceso de mejora y as llegar a una versin final del Mdulo de
Potencia.

La topologa del Mdulo de Potencia de la figura 3-22 es un esquema inicial y como se mostr en el
captulo 3 el anlisis de diseo no va de lo general a lo particular sino que se va al contrario, de lo
particular a lo general y se ira definiendo la topologa final en el transcurso del diseo.

4.1 ESPECIFICACIONES MECNICAS Y ELCTRICAS

El picosatlite experimental CubeSat UD Colombia 1 desarrollado por el Grupo de Investigacin en


Telemedicina GITEM se desarrolla a partir de un paquete llamado CubeSat Kit, de la empresa
Pumpkin Inc. CubeSat Kit es una plataforma de desarrollo de hardware y software para misiones
espaciales permitiendo un desarrollo inicial en laboratorio, y va desde el laboratorio hasta el
despliegue final en rbita (Ver Figura 4-1).

Figura 4-1 CubeSat Kit de Pumpkin Inc.


Fuente: http://cubesatkit.com/content/overview.html

73
La estructura del picosatlite est fabricada en una aleacin especial con aluminio22, con un diseo
modular que permite apilamiento de diferentes mdulos. Estos se conectan entre s mediante un bus
denominado CubeSat Kit Bus, que utiliza los mismos conectores fsicos de un bus PC/104, pero con
una ubicacin de seal fsica alterna. Esta configuracin evita el uso de cables adicionales para la
conexin inter-modular. Cada pin dentro del conector es capaz de entregar 2 A de corriente continua,
y si se necesitan corrientes ms altas se usan mltiples pines. Los mdulos se pueden apilar en
distancias tpicas de 15 mm y 25 mm.

El diseo e implementacin del Mdulo de Potencia debe cumplir con unas restricciones de espacio
y forma para poderse acoplar a la estructura y dems mdulos. El la figura 4-2 se muestran las
especificaciones de tamao que debe cumplir cada mdulo del picosatlite. Las medidas se
muestran en milmetros.

Figura 4-2 Especificaciones para PCB.


Fuente: http://cubesatkit.com/docs/CSK_PCB_Spec-A5.pdf

El satlite incorpora dos interruptores que se activan/desactivan mecnicamente y que adems de


estar vinculados con la estructura del picosatlite tambin estn asegurados directamente al mdulo
de vuelo (OBC), pero conectados elctricamente directamente a la EPS a travs del bus CubeSat kit

22
Seccin 2-4 de este documento.

74
(PC-104). Estos interruptores son utilizados por la EPS para des-energizar el picosatlite, y son
crticos para el diseo de la EPS. Tienen asignados unos pines fijos para la seal elctrica en el
conector PC-104. Se trata del interruptor que se remueve antes del lanzamiento (Remove Before
Flight, RBF Switch) y el interruptor de despliegue en rbita (Launch Switch) (ver figura 4-3).

Figura 4-3 Interruptores mecnicos picosatlite: RBF SW y Launch SW.


Fuente: FOTO PROPIA

Estos interruptores se utilizan para bloquear/desconectar el flujo de potencia elctrica en todo el


picosatlite. Su utilizacin obedece a exigencias en el estndar CubeSat; estos requisitos son:

1. La seguridad de la nave espacial encargada del despliegue del satlite. Evitando que
seales que puedan ser generadas en el picosatlite afecten el desempeo del vehculo
espacial que transporta los satlites.
2. Evitar la activacin y despliegue de sistemas en el picosatlite que pueda causar daos o
alterar otros picosatlites o as mismo, por ejemplo con el despliegue de antenas.
3. Realizar el proceso inicial del funcionamiento del picosatlite una vez lanzado al espacio.

La figura 4-4 muestra una vista de la organizacin de las partes en un picosatlite CubeSat de una
unidad.

75
Figura 4-4 Vista Interna de CubeSat Kit
Fuente: http://cubesatkit.com/docs/press/pumpkin_smallsat_conf_20040809.pdf

4.2 DISTRIBUCIN DE PINES

El bus CubeSat Kit tiene 104 pines, los cuales se distribuyen en: pines fijos asignados a cada uno
de los mdulos del picosatlite o generales, y pines de libre asignacin de acuerdo a la necesidad
de la misin y de cada mdulo en s. En la figura 4-5 se observa la asignacin de pines del bus
CubeSat Kit solo para un Mdulo de Potencia.

Figura 4-5 Asignacin de pines del bus CubeSat Kit para su la EPS de Pumpkin.
Fuente: http://www.cubesatkit.com/docs/datasheet/DS_CSK_Linear_EPS_711-00338-D.pdf

76
En la tabla 4-1 est consignada la asignacin de pines para el Mdulo de Potencia por parte del
Mdulo de Misin del picosatlite experimental CubeSat UD Colombia 1. En color naranja se
representa los pines fijos que vienen asignados por el bus CubeSat Kit, esto es para ser utilizados
por los interruptores RBF Switch y Launch Switch (pines S0 a S5), bus de carga USB, y la tierra del
sistema GND. En amarillo son pines para un bus general de 5,0 V, pero que ser utilizado para
alimentar nicamente a OBC, en color verde pines libres asignados para voltajes alimentacin de
3,3 V para Mdulo de Actitud y 3.3 V para Mdulo de Comunicaciones, as como 5,0 V de
alimentacin para el Mdulo de Actitud y 5,0 V para el Mdulo de Comunicaciones. En rojo se
observa los pines fijos que no se van a utilizar, es el caso del bus de 3,3 V general, y el Bus de
Batera o voltaje no regulado.

Tabla 4-1 Distribucin de pines para la EPS del picosatlite experimental CubeSat UD Colombia 1.

H1 H2
1 2 1 2
: : : :
25 26 5,0V OBC 25 26 5,0V OBC
27 28 BUS 3,3V 27 28 BUS 3,3V
29 30 GND 29 30 GND
31 32 V-USB GND 31 32 GND
33 34 S0 33 34 S0
35 36 S1 35 36 S1
37 38 S2 37 38 S2
39 40 S3 39 40 S3
41 42 S4 41 42 S4
43 44 S5 43 44 S5
45 46 BUS BAT 45 46 BUS BAT
47 48 47 48
3,3V ATT 49 50 3,3V ATT 3,3V COM 49 50 3,3V COM
5,0 ATT 51 52 5,0V ATT 5,0V COM 51 52 5,0V COM

4.3 ALTIUM DESIGNER

Para el diseo de la EPS se utiliza el programa computacional Altium Designer que permitir llevar
el esquema de circuito a la PCB. A continuacin se describe brevemente este programa.

Altium Designer es un paquete de programas para el diseo electrnico automatizado (EDA:


Electronic Design Automation) desarrollado y comercializado por la empresa Altium Limited de
Australia que trabaja bajo una plataforma denominada DXP, y est enfocado mediante la gestin del
flujo de diseo de versiones de proyecto entre otras a reas como el diseo de tarjetas de circuito
impreso (PCB), diseo de software embebido y FPGA, diseo de libreras de componentes.

77
Entre las funcionalidades ms importantes del software Altium Designer, el segmento de mayor
inters para el Mdulo de Potencia es el del diseo de la PCB, el cual a su vez se subdivide en un
editor de esquema y un editor de PCB. A continuacin se expone algunas caractersticas generales
de estas dos partes:

Editor de Esquema.

El editor de captura esquemtica proporciona funcionalidad de edicin de circuitos electrnicos, e


incluye lo siguiente:

Gestin de la biblioteca de componentes.


Edicin de documentos de esquema (colocacin de componentes, edicin de la conectividad y
definicin de las reglas de diseo).
Integracin con varios distribuidores de componentes que permite la bsqueda de componentes
y el acceso a los datos del fabricante.
Simulacin de circuitos de seal mezclada SPICE.
Pre-diseo de anlisis de integridad de la seal.
Exportacin Netlist.
Facilidades de reportes y lista de materiales.
Multi-canalizacin, esquemas jerrquicos y re-uso de diseo.

Diseo De PCB.

Algunas funcionalidades del mdulo de diseo de tarjetas de circuito impreso permite:

El asistente xSignal permite al agrupar mltiples nets en una simple seal.


Soporte para diseo de circuitos flexibles.
Soporte para cubiertas personalizadas.
Plano de perforacin en directo.
Exportacin 3D a PDF
Administracin de apilamiento de capas mejorado.
Soporte para componentes embebidos.
Mejoras al enrutamiento de Par Diferencial.
Editor para la implementacin del modelo IBIs para integridad de seal.
Administrador de librera de huellas de componentes.
Colocacin de componentes.
Enrutamiento de trazo manual con soporte de pares diferenciales, ruteo multi-trazo, intercambio
de pines y partes.
Enrutamiento automtico.
Enrutamiento y multi-canalizacin entre capas automtico.
Edicin interactiva 3D de la TARJETA y exportacin de MCAD a STEP.
Anlisis de Integridad de Seal.
Generacin de archivos de fabricacin y ensamble y soporte para archivos Gerber y formatos
ODB++.

78
Una caracterstica a resaltar del programa Altium Designer es que unifica todo el proceso de diseo
y permite gestionar todos los aspectos del desarrollo dentro de un nico entorno de diseo, y ofrece
una infraestructura de administracin de proyectos y documentos unificada que soporta la
convergencia de diseos de tradicionalmente disciplinas separadas.

4.4 TARJETAS DE EVALUACIN.

Despus de hacer un anlisis de los bloques constitutivos del Mdulo de Potencia y de hacer la
eleccin de los circuitos integrados que compone cada bloque, se disean e implementan tarjetas
de evaluacin con las recomendaciones del fabricante.

Se seleccionan circuitos integrados de Texas Instruments debido a:

- La facilidad para obtenerlos. Esta compaa provey todos los chips utilizados en el proyecto:
frecuencia, tiempos de entrega, y sin costo.
- Las caractersticas elctricas y trmicas de los chips que permite su uso en el ambiente espacial.
- La literatura disponible por parte de la empresa para la eleccin, implementacin y la evaluacin
de sus productos.

Las tarjetas de evaluacin.

Se implementan tarjetas de evaluacin para el regulador de entrada TPS61200 (figura 4-6), donde
inicialmente se plantea la incorporacin de un circuito MPPT utilizando una referencia de voltaje
ajustable TLV431 y un comparador OPA379 para ajustar el ciclo de trabajo del regulador a los
cambios en las celdas solares. La inclusin de esta circuitera adicional es desechada ya que al
analizar la hoja de especificaciones del circuito integrado el regulador se ajusta a los cambios en la
entrada sin afectar de manera significativa su eficiencia al trabajar con paneles solares porque est
hecho para eso, compensa internamente para mxima transferencia de potencia.

Figura 4-6 Tarjeta de Evaluacin para Regulador de Entrada TPS61200.


Fuente: FOTO PROPIA.

Para el integrado TPS6120X se implementa un jumpers para verificar habilitacin/des-habilitacin


del integrado (pin EN), modo de bajo consumo mediante habilitacin/des-habilitacin (pin PS), y
ventana o rango de entrada de funcionamiento con un divisor resistivo.

79
Se implementan tarjetas de evaluacin para el cargador de batera BQ24070 como se muestra en la
figura 4-7. Se verifica habilitacin/des-habilitacin del integrado (pin EN), se elige el Modo de
operacin (pin MODE), configuracin para la corriente de precarga y carga. Tambin se verifica
seales de salud (pines PG, STAT1, STAT2)

Figura 4-7 Tarjeta de Evaluacin para Cargador de Bateras (DPPM) BQ24070.


Fuente: FOTO PROPIA.

Se implementan tarjetas de evaluacin para el regulador para el bus de 5,0 V TPS63020 (Figura 4-
8). Se verifica funcionamiento, incluido habilitacin/des-habilitacin del integrado (pin EN), seal de
estado si detecta algn evento relacionado con sobreconsumo, sobrevoltaje o sobretemperatura (pin
PG), y modo de Power Safe que es usado para incrementar la eficiencia (pin PS).

Figura 4-8 Tarjeta de Evaluacin para Regulador Bus 5,0 V - TPS63020.


Fuente: FOTO PROPIA.

Se implementan tarjetas de evaluacin para el regulador del bus de 3,3 V TPS63001 (Figura 4-9).
Se verifica funcionamiento, incluido habilitacin/des-habilitacin del integrado (pin EN), seal de
estado si detecta algn evento relacionado con sobreconsumo, sobrevoltaje o sobretemperatura (pin
PG), y modo de Power Safe que es usado para incrementar la eficiencia (pin PS).

80
Figura 4-9 Tarjeta de Evaluacin para Regulador bus 3,3 V - TPS63001.
Fuente: FOTO PROPIA.

Se implementa una tarjeta de evaluacin con el multiplexor de potencia para el selector de la fuente
primaria, TPS2111, (Figura 4-10). Para evaluar la posibilidad de utilizarlo para tener opcin de elegir
entre los dos buses independientes de las bateras y llevar el camino de potencia hacia los
reguladores de bus. Se verifica funcionamiento con seales de habilitacin/des-habilitacin del
integrado (pin EN), Modos de Multiplexacin (pines D0, D1, VSNS), y configuracin con resistencias
para limitacin de corriente (pines ILIM).

Figura 4-10 Multiplexor para seleccin de fuente primaria - TPS2111.


Fuente: FOTO PROPIA.

Para los interruptores de usuario se implementan tres referencias TPS2069, TPS2051 y TPS22945.
(Figura 4-11).

81
Figura 4-11 Interruptor de usuario - TPS20XX.
Fuente: FOTO PROPIA.

Para la primera versin de la EPS la figura 4-12 muestra la configuracin circuital de seleccin entre
las dos bateras de Li-Ion mediante el multiplexor automtico TPS2111 a la salida.

Figura 4-12 Configuracin Cargadores y Multiplexor de Potencia.


Fuente: FOTO PROPIA.

La idea es manejar diferentes escenarios en la administracin de potencia, desde y hacia las


bateras.

Tambin se implementa una tarjeta de evaluacin para un microcontrolador MPS430F2274 (Figura


4-13) de la Texas Instruments que realizara las funciones de monitoreo y control. En principio se
esperaba que la gestin del Mdulo de Potencia se realizara desde el mdulo OBC, pero ms
adelante en el desarrollo del proyecto se determina que es necesario la inclusin de un
microcontrolador en la EPS, dado que OBC estaba manejando un gran nmero de funciones y
porque se plantea que cada mdulo sea auto-gestionable y autnomo.

82
Figura 4-13 Tarjeta de Evaluacin de microcontrolador MSP430F2274.
Fuente: FOTO PROPIA.

La fabricacin de los circuitos impresos (PCB) y el ensamble de componentes.

Las tarjetas de circuito impreso se mandaron a fabricar debido al nivel de integracin del circuito, de
sus complejas caractersticas, por ejemplo en cuanto a multicapa, huellas superficiales especiales
por el encapsulado de componente, perforaciones, tamao de pistas y vas, pintura protectora de
circuito.

El ensamble de componentes corri por cuenta del grupo del Mdulo de Potencia utilizando tcnicas
para componentes superficiales con estaciones de soldadura de aire caliente y cautn. Esto
represento una gran dificultad por tres razones:

1. El tamao de los componentes.


2. El tipo de encapsulado QFN en el que los pines no son visibles y van ubicados debajo del
cuerpo.
3. El PAD trmico de los chips de potencia como el TPS63020, que deben ser soldados a la
PCB para que pueda por all disipar el calor (figura 4-14).

Figura 4-14 Encapsulado QFN con PAD trmico. Dimensiones Integrado: 3mm x4mm.
Fuente: FOTO PROPIA.

83
Despus de ensambladas las tarjetas de evaluacin, se procede a realizar las pruebas de elctricas
de funcionamiento. Ya con estos resultados se decide disear una primera versin del Mdulo de
Potencia integrando las etapas verificadas por las tarjetas de evaluacin y teniendo en cuenta los
requerimientos y limitaciones expuestos en los apartados 4.1 y 4.2 del presente documento.

4.5 MDULO DE POTENCIA VERSIN 1

La figura 4-15 muestra la configuracin final para la EPS versin 1 en donde se incluye la localizacin
de los interruptores RBF Switch y Launch Switch, y el Multiplexor de seleccin de fuente primaria
para el suministro de la potencia elctrica. Son cuatro etapas: entrada, carga, buses, y distribucin,
ms la incorporacin de un microcontrolador para hacer la gestin de energa.

Figura 4-15 Mdulo de Potencia versin 1.


Fuente: DISEO PROPIO.

Se disea una tarjeta de circuito impreso con la forma y las dimensiones reseadas en el apartado
4.1, y se implementa en el limitado espacio fsico los siguientes circuitos como se observa en la figura
4-15:

- Tres reguladores TPS61200 independientes para la etapa de entrada, uno para manejar cada
par de caras opuestas o ejes en el picosatlite. Estos reguladores se conectan directamente a
una salida en comn llamada VCELL.

84
- Dos cargadores de batera BQ24070 con la entrada de alimentacin comn (VCELL), Un
cargador por cada batera.
- La salida de cada cargador (VOUT) se conecta a una etapa de multiplexacin de potencia que
utiliza dos TPS2111 en paralelo con la intencin de manejar mayor corriente hacia los
reguladores de bus.
- Se implementa un regulador TPS63001 para el bus de 3,3 V. Entrada de alimentacin viene del
TPS2111.
- Se implementa un regulador TPS63020 para el bus de 5,0 V. Entrada de alimentacin viene del
TPS2111.

Se utilizan interruptores de distribucin as:

- Se utiliza tres integrados TPS2051B para controlar la alimentacin de 5,0 V y 3,3 V del Mdulo
de Actitud y 3,3 V del Mdulo de Comunicaciones.
- Se utiliza dos integrados TPS2069 para controlar la alimentacin 5,0 V del Mdulo de
Comunicaciones y para controlar los Calentadores de Batera.
- Se utiliza el integrado TPS22945 para controlar la alimentacin al mdulo de OBC 5,0 V.
- Un microcontrolador MSP430F2274 para monitorear las seales de estado y control de los
circuitos integrados en el Mdulo de Potencia a travs de los pines GPIO, y por medio de un
puerto de comunicacin I2C se obtienen datos de los sensores de temperatura y potencia
elctrica ubicados en el Set de Bateras, y para comunicar datos como el estado de la EPS con
el OBC utilizando un protocolo SPI.

La tarjeta cuenta con cuatro tipos de conectores tal como se muestra en la tabla 4-2:

Tabla 4-2 Conectores utilizados en la EPS v1.

Conector Cantidad Imagen

SAMTEC ESQ-126-39-G-D para el bus 2


CubeSat Kit (PC/104).

SAMTEC TFM-105-01-S-D-WT para los 3


conectores de los paneles solares.

SAMTEC SEML-105-02-03.0-H-D-WT 1
para conectar el set de bateras.

85
SAMTEC ZF1-10-01-T-WT para la 1
programacin del microcontrolador.

Fuente de las imgenes: Samtec

Los conectores utilizados en este proyecto fuero provistos por SAMTEC. Al igual que Texas
Instruments porque son gratis, por la frecuencia de disponibilidad de estos, por el soporte en
informacin, por la calidad de los conectores.

Se implementa la in-habilitacin de energa por medio de los interruptores de CubeSat Kit as:

RBF: este interruptor asla los paneles solares de los reguladores de entrada de la EPS para
evitar el flujo de energa elctrica hacia las bateras y/o a los buses regulados.
En el momento en el que el picosatlite CubeSat es incorporado al vehculo de transporte y
lanzamiento, es habilitado mediante el retiro de una argolla, como los paneles solares estn
en la oscuridad dentro del P-POD no hay energa generndose.
Launch Switch: desconecta la tierra de las bateras, de la tierra del picosatlite cortando la
energa de las bateras hacia los buses regulados.

Los interruptores RBF Switch y Launch Switch tienen cada uno tres contactos, un punto en comn,
un punto normalmente abierto y otro normalmente cerrado. El orden como se configuren los
contactos de estos interruptores con los pines del conector PC-104 y la funcin que cumple es
importante definirlo. En las tablas 4-3 y 4-4 se muestra la configuracin final:

Tabla 4-3 Launch Switch

Tipo contacto (nombre) Pin en el PUERTO PC/104 Descripcin


Contacto comn (S5) Puertos 43, 44 de H2 GND del sistema
Contacto Normalmente abierto (S3) Puertos 39, 40 de H2 No conexin
Contacto Normalmente Cerrado (S1) Puertos 35, 36 de H2 GND del set Bateras

Tabla 4-4 Remove Before Flight Switch.

Tipo contacto (nombre) Pin en el PUERTO PC/104 Descripcin


Contacto comn (S4) Puertos 41, 42 de H2. Recepcin de energa de paneles o USB.
Contacto normalmente abierto (S2) Puertos 37, 38 de H2. USB
Contacto normalmente cerrado (Voltaje de paneles)
Puertos 33, 34 de H2.
(S0)

La vista en 3D de la tarjeta de circuito impreso realizado con el programa computacional de diseo


electrnico con los componentes instalados se puede apreciar en la figura 4-16.

86
Figura 4-16 Vista en 3D del Mdulo de Potencia Versin 1 diseado con Altium Designer.
Fuente: DISEO PROPIO.

Y en la figura 4-17 se observa una imagen con el Mdulo de Potencia versin 1 implementado.

Figura 4-17 EPS v1.


Fuente: FOTOS PROPIAS.

Por la complejidad en la fabricacin del circuito impreso y el ensamble de los componentes de la


EPS versin 1 se hace el proceso de gestin con una empresa particular a travs de la Universidad
Distrital. Se mandaron fabricar dos tarjetas, una en CIDEI y otra en Microensamble para hacer las
pruebas.

87
4.6 SET DE BATERAS VERSIN 1.

Un Set de bateras versin 1 se dise para a la EPS versin 1 (figura 4-17) utilizando conectores
de acople del fabricante SAMTEC de referencias SEML-105-02-03.0-H-D (conector hembra) para la
EPS, y TEML-105-02-03.0-H-D (macho) para el set de bateras. La fabricacin del circuito impreso
y el ensamble de componentes los llevo a cabo la empresa Sequoia Space; ellos importaron parte
los materiales (bateras, calentadores, Kapton, adhesivos) y sugirieron una referencia de bateras
apta para el espacio.

Aparte de la seleccin de los dos conectores de acople SEML-105-02-03.0-H-D y TEML-105-02-


03.0-H-D entre Set de Bateras y Modulo de Potencia, ya se haban seleccionado las bateras
DANIONICS de referencia DLP 375388-01, y los calentadores de batera OMEGALUX KHLV-
103/5P.

Se decide usar el circuito integrado INA209 para monitorear corrientes, voltajes y potencia de las
bateras mediante el protocolo de comunicaciones I2C Tambin se incluye tres sensores de
temperatura LM75 con comunicacin por I2C, para monitorear la temperatura de cada una de las
bateras, y la temperatura al interior del satlite. Aunque las bateras ya cuentan con un circuito
protector de corto circuito incluido de fbrica se instala un limitador de corriente TPS2069 de 1,5 A.
Tambin se incluye en la tarjeta de bateras indicadores LED para visualizar seales de estado.

La implementacin final se puede observar en la figura 4-18, se puede apreciar en las imgenes los
indicadores LED, el calentador de bateras, y el conector. Tambin se aprecia las tapas que
contienen a las bateras las cuales tienen dos funciones, hacer presin a las bateras y distribuir la
temperatura uniformemente.

Figura 4-18 Vista Set de Bateras versin 1.


Fuente: FOTO PROPIA.

El conector Bateras-EPS tiene 10 pines. (5x2) y la distribucin de esos pines en el conector para las
funciones a manejar se muestran en la tabla 4-5:

88
Tabla 4-5 Distribucin pines conector entre set de bateras v1 y EPS v1.
Pin FUNCION
1 TS_B: Termistor para monitorear temperatura BAT_B para la carga.
2 TS_A: Termistor para monitorear temperatura BAT_A para la carga.
3 Control Calentadores
4 VBAT+_B: Voltaje positivo batera B.
5 Control Calentadores
6 VBAT+_A: Voltaje positivo batera B.
7 Alimentacin sensores y circuitera set de bateras.
8 SDA (I2C)
9 GND-BAT: Tierra de las Bateras
10 SCL (I2C)

4.7 EPS VERSION 3

Inicialmente se tena planeado disear e implementar una versin 2 del Mdulo de Potencia, una
versin corregida/mejorada basada en la versin 1, al final no se implementa la versin 2 de la EPS
al retomar el proyecto se decide hacer una Versin 3 de la EPS con algunos cambios significativos
que varan la topologa de la versin 1 (y 2). Tales cambios y que se describen a continuacin.
Tambin se pueden apreciar en las imgenes siguientes de la EPS final (versin 3). Tales cambios
repercuten en un nuevo diseo Set de Bateras. El Mdulo de Potencia consta de dos tarjetas en vez
de una por el nivel de integracin y la necesidad de un buen plano de disipacin de la temperatura.

4.7.1 Cambios al Mdulo de Potencia de la versin 1 a la versin 3

Un nuevo requerimiento es solicitado por parte del Mdulo de Actitud, y tiene que ver con los
sensores de luz I2C localizados o implementados sobre los paneles solares y que son de inters del
Mdulo de Actitud. Sobre eso hay un consenso general en que para evitar dispersar el cable por
todo el picosatlite y evitar montar otro conector sobre el Modulo de Actitud, se decide que sea el
Mdulo de Potencia quien se encargue de encaminar las seales de dichos sensores a travs del
bus CubeSat Kit (Conector PC104), y no se haga directamente hasta el Mdulo de Actitud. Son 5
seales que maneja cada uno de los paneles solares (tres de potencia y dos digitales), estas son:
voltaje generado por el respectivo par de paneles, la tierra, el voltaje de alimentacin para los
sensores I2C y las seales SDA y SCL del protocolo I2C que transportan la informacin. Ya que
estas seales vienen por el mismo arreglo de cables provenientes de los paneles solares estas se
llevan al Mdulo de Potencia y se asigna dos pines en el Bus CubeSat Kit para el I2C (SDA y SCL).
Se dispone entonces de dos pines que antes estaban dedicados al bus de 3,3 V para el Mdulo de
Actitud. Los dos pines el bus de 3,3 V para comunicaciones se deja como un bus general de 3,3 V
tanto para el Mdulo de Comunicaciones como para el Mdulo de Actitud. En la tabla 4-6 se puede
ver el cambio hecho en color morado, es la distribucin final de pines final para la EPS versin 3,
anotando por ltimo que las casillas en color rojo de la tabla no sern utilizados por la EPS.

Tabla 4-6 Conector PC104 en la EPS versin 3. Distribucin de pines con Modificacin en H2: 49 y 50.

89
H1 H2
1 2 1 2
: : : :
23 24 23 24
25 26 5,0V OBC 25 26 5,0V OBC
27 28 BUS 3,3V 27 28 BUS 3,3V
29 30 GND 29 30 GND
31 32 V-USB GND 31 32 GND
33 34 S0 33 34 S0
35 36 S1 35 36 S1
37 38 S2 37 38 S2
39 40 S3 39 40 S3
41 42 S4 41 42 S4
S5 (contacto S5 (contacto
43 44 43 44
comn LSW) comn LSW)
45 46 BUS BAT 45 46 BUS BAT
47 48 47 48
3,3V BUS 49 50 3,3V BUS I2C_ATT 49 50 I2C_ATT
5,0 ATT 51 52 5,0V ATT 5,0V COM 51 52 5,0V COM

Despus de realimentar los resultados de la primera versin del Mdulo de Potencia, los errores de
diseo cometidos, y las fallas se hace un rediseo de la tarjeta conservando etapas que funcionaron
bien, y con un aprendizaje y mejor manejo de la herramienta de diseo computacional se realiza un
trabajo ms eficiente haciendo cambios en la configuracin general de la topologa.

Se utiliza un conector de referencia TFM-105-01-S-D-WT para el cable-conector que viene de los


paneles solares, Es un conector de 5x2 pines que tiene la caracterstica especial de contar con un
sistema de retencin o enganche para fijacin al Mdulo de Potencia y evitar as su desprendimiento
por vibraciones producidas en el lanzamiento del cohete lanzador. Figura 4-19.

Como se observa en la figura 4-19 se utiliza un conector por cada par de paneles solares opuestos
(eje X, eje Y, eje Z). La distribucin de pines para cada conector se muestra a continuacin en la
figura 4-20:

La asignacin o distribucin de seales de pines se puede apreciar en la figura 4-20. Es un conector


de 5x2 pines, maneja el bus de comunicaciones I2C (SDA y SCL) y las seales de potencia (GND,
VSYS y VOLTAJE DE CELDA).

90
Figura 4-19 Conector para cable paneles solares - sistema de enganche.
Fuente: FOTO PROPIA.

Figura 4-20 Distribucin pines conector paneles solares.


Fuente: DISEO PROPIO.

91
Se mantienen la tecnologa en la etapa de entrada reemplazando el circuito integrado por una versin
de voltaje fijo, el TPS61202 (figura 4-21), que es capaz de entregar 5,0 V a 600 mA.

Figura 4-21 Regulador de entrada TPS61202. Capa TOP y Capa BOTTOM.


Fuente: FOTO PROPIA.

Se elimina la etapa del multiplexor de potencia que seleccionaba entre los voltajes provenientes de
los cargadores bateras, no soporto mucha corriente. Se implementa en su lugar un regulador de bus
de 5,0 V en serie con cada cargador de batera (figura 4-22).

Figura 4-22 Cargador de batera BQ24070. Capa TOP y Capa BOTTOM.


Fuente: FOTO PROPIA.

92
En la versin 1 se us el TPS63020 para generar el bus de 5,0 V (figura 4-23): en la versin 3 se
reemplaza por el TPS61032, la razn es que el TPS63020 presento mucha dificultad en cuanto a la
disipacin de calor por el diseo del plano de masa, y debido al tamao y tipo del encapsulado era
difcil de manipular.

Figura 4-23 Bus de 5,0 V TPS61032. Capa TOP y Capa BOTTOM.


Fuente: FOTO PROPIA.

Se decide reemplazar el regulador de bus de 3,3 V, el TPS63001 por el TPS79533 (figura 4-24), la
razn es que se determin que el bus de 3,3 V lo requeran los usuarios para alimentar a
microcontroladores de bajo consumo, lo cual no se justificaba colocar un circuito complejo
conmutado para tal fin. Se implementan dos reguladores de bus de 3,3 V, un bus general para los
usuarios de 3,3 V controlado por la EPS, y otro bus de 3,3 V para la alimentacin del microcontrolador
de la EPS y los sensores asociados. El interruptor de usuario de 3,3 V es eliminado pasando a actuar
directamente sobre el habilitador/des-habilitador del propio integrado TPS79533.

Figura 4-24 Bus de 3,3V TPS79533. Capa TOP y Capa BOTTOM.


Fuente: FOTO PROPIA.

93
El interruptor TPS22945 que estaba dedicado al OBC 5,0 V, se reemplaza por el circuito integrado
que maneja mayor corriente de la familia TPS20XX (figura 4-25).

Figura 4-25 Interruptores de usuario y de calentador TPS20XX. Capa TOP y Capa BOTTOM.
Fuente: FOTO PROPIA.

- Se cambia el conector de montaje superficial del Set de Bateras con la EPS por una referencia
ms robusta tipo through hole par mayor sujecin. La referencia es TFM-107-01-S-D-A (7x2,
fabricado por SAMTEC y se muestra en la figura 4-26), est instalado en la tarjeta auxiliar de la
EPS.

Figura 4-26 Conector tipo TMF (SAMTEC) para conectar el set de Bateras.
Fuente: FOTO PROPIA.

En la EPS versin 1, uno de los problemas que se tuvo era que el Set de Bateras chocaba con los
componentes la EPS por la altura del conector, para la EPS versin 3 se decide implementar una
tarjeta auxiliar para elevar las bateras, y se aprovecha el espacio de la nueva tarjeta para ubicar en
esta los circuitos de carga de batera actuando esta como un disipador del calor y se ubican adems
los circuitos integrados asociados al control de los calentadores, como resultado esto alivia el
complejo enrutamiento.

Se cambia el microcontrolador de la versin 1. Se reemplaza el microcontrolador MSP430F2274 por


el MSP430F1612 (figura 4-28), el primero nunca funciono por razones an desconocidas. Otra razn
del reemplazo es que el MSP430F1612 ya vena siendo utilizado por otros grupos de trabajo, y
porque es el que viene en la tarjeta de desarrollo del CubeSat Kit. Presenta mejores caractersticas
y al ser probado en una tarjeta de evaluacin (figura 4-27) funciono bien.

94
Figura 4-27 Tarjeta de evaluacin para el MSP430F1612.
Fuente: FOTO PROPIA.

Figura 4-28 MSP430F1612 de la Texas Instruments. Parte derecha: Conector de programacin.


Fuente: FOTO PROPIA.

Paralelamente al diseo de la EPS versin 3, se trabaja en el diseo del Set de Bateras versin 3
(la versin 2 se plante para la versin 2 que nunca se implement).

La seccin de la EPS cargadores de bateras y reguladores de bus queda como se observa en la


Figura 4-29.

95
Figura 4-29 Cargadores de batera en serie con reguladores de 5,0 V.
Fuente: DISEO PROPIO.

4.7.2 MODULO DE POTENCIA FINAL: VERSION 3

Los diseos del esquemtico y las PCB se pueden consultar en el anexo digital correspondiente, la
topologa y esquema final se puede apreciar en la figura 4-30.

La EPS versin 3 se divido en dos tarjetas: la EPS principal versin 3 y la EPS auxiliar versin 3. En
la tarjeta auxiliar como ya se dijo van los cargadores de batera y los circuitos que controlan a los
calentadores de las bateras y all ira conectado el Set de Bateras. Se implementan tres parejas de
conectores de referencias TFM y SFM para conectar estas dos tarjetas entre s.

En la figura 4-30 est representado las cuatro etapas del Mdulo de Potencia, el rea roja
corresponde a la tarjeta auxiliar del mdulo de Potencia. Las lneas rojas representan el flujo de
potencia elctrica. El interruptor RBF Switch se ubica entre al etapa de acondicionamiento y al etapa
de carga de bateras y Launch Switch se usa para aislar la tierra del set de bateras con la tierra del
resto picosatlite, se unen cuando el picosatlite es expulsado del P-POD.

En azul estn representadas las seales de Estado y Control as como la lectura de los sensores de
temperatura y corriente/potencia.

96
Figura 4-30 Esquema Final EPS versin 3.
Fuente: DISEO PROPIO.

En la figura 4-31 se observa el diseo de la tarjeta EPS principal versin 3. Una vista generada por
el programa de diseo electrnico donde se ve la tarjeta de circuito impreso con los elementos
ensamblados.

Figura 4-31 EPS principal versin 3.


Fuente: DISEO PROPIO.

97
En la figura 4-32 la tarjeta EPS auxiliar en 3D, una vista generada por el programa de diseo
electrnico donde se ve la tarjeta de circuito impreso con los elementos ensamblados.

Figura 4-32 EPS auxiliar versin 3.


Fuente: DISEO PROPIO.

Este diseo se manda fabricar y ensamblar en la empresa Microensamble, el resultado se muestra


en las fotos de las figuras 4-33 Y 4-34.

Figura 4-33 EPS PRINCIPAL VERSION 3.


Fuente: FOTO PROPIA.

98
Figura 4-34 EPS AUXILIAR VERSION 3.
Fuente: FOTO PROPIA.

99
4.8 SET DE BATERAS VERSIN 3

El Set de Bateras versin 1 tuvo un error de diseo critico que fue la seleccin incorrecta del
conector, problemas como daos en la batera por perforacin fsica-mecnica. Adems tena
visualizadores LED que servan a modo de darse cuenta de cambio de umbrales en cuanto a
sobretemperatura o evento de sobrecorriente. Tales LEDs se eliminan de la versin final.

El proceso de diseo sigue los siguientes pasos:

4.8.1 Seales Presentes en el Set de Bateras

Se establece las seales que se van a manejar en el Set de Bateras, y son las siguientes:

Voltaje positivo batera A.


Voltaje positivo batera B.
GND bateras.
Calentador batera A.
Calentador batera B.
Termistor batera A (requerido por el cargador de bateras A).
Termistor batera B (requerido por el cargador de bateras B).
I2C Seal datos.
I2C Seal reloj.
Voltaje alimentacin sensores I2C

4.8.2 Bateras y Calentadores de Bateras.

Las bateras a utilizar son la misma referencia utilizada desde el principio DANIONICS DLP 375388-
01, ya se ha hablado de ellas en un apartado anterior. Estas bateras cumplen con las caractersticas
necesarias para ser implementadas con el Mdulo de Potencia, referencia que fue recomendada en
un inicio por la empresa Sequoia Space y que ha sido avalada para trabajar en ambiente espacial.
Como caracterstica adicional tienen una funda que las contiene junto con las tapas en caso de
explotar o degradarse no afectando a los otros mdulos del picosatlite.

Los calentadores son los mismos que se utilizaron en el Set de Bateras versin 1 de referencia
KHLV-103/5P de la empresa Omega en los EEUU. Ya se habl ellos en un apartado anterior.

4.8.3 Caractersticas de la PCB y el Set de Bateras.

Hay que establecer la forma de la PCB y la distribucin del espacio fsico de esta y estimar las
dimensiones del Set Final de Bateras. Tambin definir cmo va a ir asegurado el Set de Bateras a
la EPS, que circuitera va ser implementada en el Set de Bateras, que tipo de conector ser utilizado
y la distribucin de las seales en los pines de este conector.

100
La PCB tiene forma rectangular uniforme. Las dimensiones de la PCB se pueden observar en la
figura 4-35 en donde se han determinado las coordenadas para las perforaciones con el fin de fijar
el Set de Bateras a la EPS y asegurar las propias bateras al Set de Bateras utilizando tapas de
presin que al mismo tiempo sirven como disipador trmico, En la figura 4-35 se observa el rea
encerrada en un rectngulo amarillo donde deben ser contenidas las bateras.

Figura 4-35 Dimensiones/especificaciones de la PCB del Set de Bateras.


Fuente: DISEO PROPIO.

El ancho de cada una de las dos bateras es 3,7 mm, calculando un ancho de PCB de 1,6 mm que
es un valor tpico de fabricacin, dara un espesor o altura de 9 mm. Si le aadimos un margen de
3,0 mm que incluya a los calentadores de batera y la cabeza de los tornillos que aseguran las
bateras pueden medir 1,5 mm en cada lado de la PCB, estimando as un ancho total para el Set de
las Bateras de 12 mm.

A fin de determinar la altura del conector en el Set de Bateras la altura mnima del conector a ser
implementado es el resultado de sumar la altura de las bateras (3,7 mm), ms los calentadores (1
mm mximo), ms las tapas de presin (1 mm mximo), la altura mnima del conector necesaria ha
de ser de 5,7 mm como mnimo.

Desde un principio en el diseo de la EPS versin 3 se defini las seales a ser manejadas en el Set
de Bateras, es por eso que el conector de bateras en la tarjeta auxiliar de la EPS se dise para tal
fin, siendo el conector del tipo TFM del fabricante SAMTEC, que es un conector tipo macho que se
acopla a una contraparte con un conector hembra tipo SFM que permite escoger entre varias alturas.
La altura mnima que se obtiene del acople conector-conector SFM-TFM es de 5,7 mm. En la figura
se aprecia la posicin exacta del conector para la fabricacin y ensamble. La referencia del conector
del Set de Bateras es el SFM-107-01-S-D-A, la referencia de la tarjeta auxiliar de la EPS es el TFM-
107-01-S-D-A, ambos son conectores de 7x2 pines.

La distribucin de los pines para acople de conectores TFM-SFM se establece como aparece en la
figura 4-36:

101
Figura 4-36 Distribucin pines conector Set de Bateras.
Fuente: DISEO PROPIO.

4.8.4 Los Sensores en el Set de Bateras.

Se requiere monitorear temperatura, voltaje, y corriente de cada una de las bateras, es una
necesidad tanto para el control de los modos de operacin de la EPS, como para verificar el estado
de salud del picosatlite, informacin que ser utilizada para fines de telemetra, esto es transmitir
informacin histrica del comportamiento a la estacin terrena. La informacin requerida es la
siguiente:

Nivel de voltaje de la batera A.


Nivel de voltaje de la batera B.
Corriente de la batera A.
Corriente de la batera B.
Potencia de la batera A.
Potencia de la batera B.
Temperatura de la batera A.
Temperatura de la batera B.
Temperatura interior satlite.

El Microcontrolador MSP430F1612 implementado en el Mdulo de Potencia cuenta con un bloque


funcional interno con el protocolo I2C, son dos pines para manejo de datos y manejo de reloj (SDA
y SCL). Se aprovecha esta caracterstica para implementar sensores que manejen el protocolo de
comunicaciones I2C.

Para la medicin de la temperatura se selecciona el dispositivo el LM75A (figura 4-37); y para la


medicin de voltajes, corrientes y potencia, se selecciona el INA219 (figura 4-38), ambos son
dispositivos del fabricante Texas Instruments.

102
Figura 4-37 Sensor de temperatura LM75A.
Fuente: http://www.ti.com/lit/ds/symlink/lm75a.pdf

En la versin 1 del Set de Bateras se trabaj con el LM75A, y se utiliz el INA209, En este diseo
se reemplaza el INA209 por el INA219 porque es ms sencillo de trabajar, y de programar en sus
registros internos e implementar en una PCB pues tiene ms opciones de encapsulado al tiempo
que menos pines, el INA209 tiene pines con funciones que no se utilizan. El circuito de aplicacin
tpico del INA219 se muestra en la figura 4-38.

Figura 4-38 Sensor de temperatura INA219.


Fuente: http://www.ti.com/lit/ds/symlink/ina219.pdf

Se decide implementar un limitador de corriente de 1,5 A en serie con las bateras utilizando un
interruptor de la familia TPS20XX.

Con los requerimientos anteriores se manda fabricar y ensamblar el Set de Bateras a la empresa
Sequoia Space. El Set de Bateras final se observa en la figura 4-39 en donde se puede apreciar las
tapas de presin y la lmina Kapton.

103
Figura 4-39 SET DE BATERAS versin 3.
Fuente: FOTO PROPIA.

El Kapton es un aislante elctrico y trmico, resistente al calor, y es resistente a los qumicos. Es


utilizado en medios de alta temperatura, es un retardante de llama auto-extinguible y es el mejor
material para ambientes de ultra-alto-vaco. El nivel de desgasificacin es mnimo.

En comparacin con el Set de Batera versin 1, el Set de Bateras versin 3 elimina los indicadores
LED, y cualquier tipo de dispositivo tipo bulbo que pueda explotar en el vaco del espacio o
desgasificarse aceleradamente.

104
5 DESARROLLO DE SOFTWARE

En este captulo de analiza la operacin del Mdulo de Potencia y se hace el desarrollo del software
para la gestin de este, incluyendo anlisis de requerimientos, e implementacin.

5.1 REQUERIMIENTOS DE LA EPS.

El Mdulo de Potencia debe cumplir con las tareas de operacin que se enumeran a continuacin:

- Aislar la fuente de energa en la configuracin de lanzamiento.


- Proveer buses controlados de 5,0 V y 3,3 V a los dems mdulos (COMM, ADCS y OBC).
- Generar datos de salud y estado del set de bateras.
- Encender y apagar los otros mdulos segn sea necesario.
- Almacenar el estado de los otros mdulos (encendido, apagado o fallo).
- Servir como recuperacin en caso de una falla general en el satlite.
- Comunicar a OBC el estado del set de bateras y de los usuarios.

Para cumplir con los requisitos que se indican, se decidi que el picosatlite experimental CubeSat
UD Colombia 1 tendra una arquitectura distribuida para permitir ms fcil el desarrollo de cada uno
de los subsistemas o mdulos y simplificar los procedimientos de pruebas debido a la gran cantidad
de pruebas que se deben hacer antes de la integracin de los subsistemas. Este enfoque permiti a
los equipos desarrollar los subsistemas de forma independiente. Como tal, cada subsistema del
segmento espacial (COMM, ADCS, OBC y EPS) tiene su propio microcontrolador o microprocesador.

Figura 5-1 Diagrama de bloques de MSP430F161x


http://www.ti.com/lit/ds/symlink/msp430f1612.pdf

En el caso de la EPS se utiliza un microcontrolador de la misma referencia de la tarjeta de vuelo


(OBC), el MSP430F1612 del fabricante Texas Instruments. Este es un microcontrolador de ultra bajo

105
consumo de potencia con una CPU RISC de 16 bits. Cuenta con dos temporizadores integrados de
16 bits, un conversor A/D de 12 bits, dos interfaces de comunicacin universales serie
sncronos/asncronos (USART), I2C, DMA, y 48 pines E/S (GPIO). En la figura 5-1 se muestra un
diagrama con los bloques funcionales del microcontrolador MSP430F1612.

Despus de hacer un anlisis de los bloques constitutivos del Mdulo de Potencia y de hacer la
eleccin de los circuitos integrados que compone cada bloque, se realiza la conexin de cada uno
de estos con el microcontrolador como se muestra en la figura 5-2.

Figura 5-2 Configuracin de EPS para operacin.


Fuente: DISEO PROPIO.

Se tiene unos sensores para las bateras que recaban valores de voltaje, corriente, potencia y
temperatura de cada batera y temperatura ambiente. La comunicacin de estos sensores con el
microcontrolador se hace por medio de un bus I2C. El estado y control de los circuitos integrados de
carga de bateras, reguladores de bus e interruptores de usuario se realiza por medio de puertos
digitales de propsito general (GPIO) y para la comunicacin con OBC, se implementa una
comunicacin por protocolo SPI. A continuacin en la tabla 5-1 se enumeran y describen todas las
seales de estado y control.

Tabla 5-1 Microcontrolador MSP430F1612 - EPS v3: manejo de seales.

Sub-Sistema Seal Tipo Pin del MCU Descripcin


Bater
a A

VBATT_A I2C SDA (29) Voltaje en la Batera A

106
I2C SCL (31)

I2C SDA (29)


Sensor de Voltaje Y
Corriente (INA219)
IBATT_A Corriente en la Batera A
I2C SCL (31)
Direccin I2C: 0X40
I2C SDA (29)
PBATT_A Potencia en la Batera A
I2C SCL (31)
Sensor Temperatura
(LM75A)
I2C SDA (29)
TMP_A Temperatura en la Batera A
Direccin I2C: 0X4F I2C SCL (31)

CE_A GPIO P4.1 (37) Entrada de habilitacin

MODE_A GPIO P4.2 (38) Entrada de seleccin de fuente de potencia


Cargador de Batera
(BQ24070) PG_A GPIO P4.5 (41) Salida de estado de fuente de entrada

STAT1_A GPIO P4.4 (40) Salida de estado de carga 1

STAT2_A GPIO P4.3 (39) Salida de estado de carga 2

I2C SDA (29)


VBATT_B Voltaje en la Batera B
I2C SCL (31)
Sensor de Voltaje Y
Corriente (INA219)
I2C SDA (29)
IBATT_B Corriente en la Batera B
Direccin I2C: 0X4E I2C SCL (31)

I2C SDA (29)


PBATT_B Potencia en la Batera B
I2C SCL (31)
Batera B

Sensor Temperatura
(LM75A)
I2C SDA (29)
TMP_B Temperatura en la Batera B
Direccin I2C: 0X4A I2C SCL (31)

CE_B GPIO P4.7 (43) Entrada de habilitacin

MODE_B GPIO P4.6 (42) Entrada de seleccin de fuente de potencia


Cargador de Batera
(BQ24070) PG_B GPIO P4.0 (36) Salida de estado de fuente de entrada

STAT1_B GPIO P3.2 (30) Salida de estado de carga 1

STAT2_B GPIO P3.0 (28) Salida de estado de carga 2


Sensor Temperatura
(LM75A)
I2C SDA (29)
EPS TMP_EPS Temperatura interior del picosatlite
Direccin I2C: 0X4D I2C SCL (31)

107
EN_A GPIO P1.2 (14) Entrada de habilitacin
Bus Regulado 5,0 V A
(Tps61032)
LBO_A GPIO P1.1 (13) Salida de comparador de batera baja

EN_B GPIO P1.4 (16) Entrada de habilitacin


Bus Regulado 5,0 V B
(Tps61032)
LBO_B GPIO P1.3 (15) Salida de comparador de batera baja

Regulador 3,3 V (TPS79533) EN GPIO P1.0 (12) Entrada de habilitacin

EN_OBC GPIO P2.3 (23) Entrada de habilitacin


Interruptor OBC (TPS2051B)
OC_OBC GPIO P2.2 (22) Indicador de sobrecorriente

EN_COMM GPIO P1.7 (19) Entrada de habilitacin


Interruptor COMM
(TPS2069) OC_
GPIO P1.6 (18) Indicador de sobrecorriente
COMM

EN_ ATT GPIO P2.1 (21) Entrada de habilitacin


Interruptor ATT (TPS2051B)
OC_ ATT GPIO P2.0 (20) Indicador de sobrecorriente

EN_HA GPIO P2.5 (25) Entrada de habilitacin


Interruptor Heat A
(TPS2069)
OC_ HA GPIO P2.6 (26) Indicador de sobrecorriente

EN_ HB GPIO P2.4 (24) Entrada de habilitacin


Interruptor Heat B
(TPS2069)
OC_ HB GPIO P2.7 (27) Indicador de sobrecorriente

SPI STE1 (44)

SPI SIMO1 (45)


Comunicacin con OBC Varias Comunicacin con OBC
SPI SOMI1 (46)

SPI UCLK (47)

Carga por USB USB_MCU GPIO P5.4 (48) Entrada de Indicacin de Carga en tierra

5.2 MODOS DE OPERACIN

A continuacin se expone como debe operar el Mdulo de Potencia para cumplir los requerimientos.

5.2.1 Despliegue y Recuperacin (S0)

El Mdulo de Potencia recibe alimentacin al momento que sale del P-POD. Debido a la proximidad
con otros CubeSats y con la nave de despliegue, es necesario esperar 30 minutos antes de iniciar
el picosatlite. Luego se activan los interruptores de usuarios de OBC y COMM y se le comunica a

108
OBC que se puede desplegar antenas. Se espera verificacin del estado de la antena. Si la antena
no se despliega se hace un reset y se reinicia el proceso. Si persiste este suceso, se asume
despliegue de antena y se contina. Si hay despliegue de antena se verifica el estado de energa en
las bateras. Dependiendo del estado se contina con el siguiente proceso.

5.2.2 Modo de Operacin Normal (S1)

Si la energa de las bateras est por encima del umbral definido como bajo voltaje se contina con
la operacin normal del Mdulo de Potencia. Se le avisa a OBC que se ingresa en este estado. Se
transmiten los valores de estado y salud. Se activan los usuarios restantes y se realiza un monitoreo
peridico de las variables de estado. Aqu adems de activar los usuarios se realizan operaciones
de recuperacin de fallos, si los mdulos de comunicaciones o actitud presentan altas demandas de
energa (sobrecorrientes), se desactivan y se genera una bandera correspondiente. Se espera un
intervalo de tiempo y se reactiva nuevamente el mdulo. Si persiste la condicin se hace un reset y
se reinicia todo el satlite. Si la falla se presenta en el mdulo de vuelo se reinicia inmediatamente
todo el sistema. Adems se monitorea la salud de las bateras y se evita que operen en condiciones
peligrosas.

5.2.3 Modo de Recarga (S2)

Si el voltaje de bateras cae por debajo de un valor mnimo establecido, se entra en el estado de
recarga. Aqu se desactivan los usuarios de comunicaciones y actitud y se le avisa a OBC que se
entra en este modo de operacin. Se monitorea el estado de la EPS y cuando el voltaje de las
bateras supere el umbral de carga se regresa al estado normal, a menos que se presente una falla
en OBC o se reciba un comando de reset por parte de OBC en cuyo caso se va al estado de
despliegue y recuperacin.

Este estado de operacin tambin se puede llevar a cabo en tierra para recargar las bateras a partir
de un voltaje obtenido del puerto USB del picosatlite. En esta operacin debe estar activo el RBF
SW que desconecta la etapa de entrada de la etapa de almacenamiento y buses regulados. En este
caso se modifica el modo de carga de los cargadores para los niveles menores de corriente que
ofrece USB.

5.2.4 Registro de Estados de la EPS

Se utiliza un registro de estados para la operacin del mdulo. En este se guardan eventos externos
e internos que indican la manera en que operara la EPS EPS_Reg. A continuacin se muestra en la
figura 5-3 este registro y los estados en la operacin del Mdulo de Potencia.

109
Figura 5-3 Registro de Estados de la EPS.
Fuente: DISEO PROPIO.

A continuacin se describe cada bit en el registro de estado de la EPS (EPS_Reg):

RESET: Indica el primer arranque del picosatlite. Puede ocurrir al energizarse la EPS por
primera vez o al recibir de OBC una seal de reseteo. Inicia con un valor alto y se
mantiene as hasta que pasen 30 minutos y se reciba una seal del despliegue de
las antenas. Cuando se limpia este registro se sale del estado de despliegue y
recuperacin.

OBC_FAIL: Indica una falla de operacin en el interruptor de OBC (sobrecorriente). Cuando


esto ocurre se coloca en un estado alto y se hace un cambio hacia el modo de
despliegue y recuperacin.

ANT: Se utiliza para indicar que las antenas fueron desplegadas y si por algn motivo se
pasa al estado de recuperacin, no es necesario volver a indagar sobre el estado
de las antenas.

110
LOW_BATT Indica bajo voltaje en ambas bateras (descarga). Se obtiene haciendo una
operacin lgica AND entre BATT_A y BATT_B. cuando est en un valor alto se
informa a OBC la necesidad de entrar a modo recarga. Se desactivan los usuarios
de comunicaciones y actitud. Cuando las bateras estn nuevamente recargadas
se limpia esta bandera.

OBC Indica que OBC est activo

COMM Indica que se activa interruptor de usuario de comunicaciones.

ATT Indica que se activa interruptor de usuario de actitud.

BUS_33 Indica que se activa bus de 3,3 V.

HEATER Indica funcionamiento del calentador de bateras cuando la temperatura baja de un


umbral establecido.

COM_FAIL Indica una falla de operacin en el interruptor de OBC (sobrecorriente). Cuando


esto ocurre se coloca en un estado alto, se avisa a OBC y se desconecta el
interruptor de comunicaciones por 5 minutos y se reactiva el usuario. Si la falla
persiste se avisa nuevamente a OBC y se pasa a modo recuperacin.

ATT_FAIL Similar a COM_FAIL

HOUSE Indica que se termina de obtener todos los datos de salud y estado y se pueden
tomar las decisiones correspondientes.

Para realizar el cdigo se opta por una arquitectura orientada al uso de interrupciones. Esto permite
entrar en un modo de operacin de baja potencia. El dispositivo duerme hasta que una interrupcin
es recibida. Se tienen tres tipos de interrupcin.

Una interrupcin peridica que realiza verificacin del estado del Mdulo de Potencia para as tomar
decisiones sobre los modos de operacin. Se hace una lectura de los sensores por I2C, se verifican
todas las seales de estado de los subsistemas, se actualiza el valor del registro de estado de la
EPS (EPS_Reg) y se selecciona el estado en que debe funcionar la EPS (Figura 5-4).

111
Figura 5-4 Modos de operacin EPS.
Fuente: DISEO PROPIO.

Una interrupcin de comunicacin desde el mdulo de vuelo (OBC) para indicarle a la EPS algn
comando de operacin o que enve datos de telemetra hacia OBC mediante protocolo SPI.

Tres interrupciones externas generadas en los puertos de estado de los interruptores de bus para
OBC, COMM y ATT. Para indicarle a la EPS que se presenta una sobre corriente en alguno de ellos
y que debe deshabilitar ese modulo y entrar a una secuencia de recuperacin de fallo.

5.3 I2C: INTER-INTEGRATED CIRCUIT23

IC es un bus de comunicaciones en serie. Su nombre viene de Inter-Integrated Circuit (Circuitos


Inter-Integrados). La versin 1.0 data del ao 1992 y la versin 2.1 del ao 2000, su diseador
es Philips. La velocidad es de 100 kbit/s en el modo estndar, aunque tambin permite velocidades
de hasta 3,4 Mbit/s. Es un bus muy usado en la industria, principalmente para comunicar

23 https://es.wikipedia.org/wiki/I%C2%B2C

112
microcontroladores y sus perifricos en sistemas integrados (Embedded Systems) y se ha
generalizado ms para comunicar circuitos integrados mutuamente que normalmente residen en un
mismo circuito impreso.

La principal caracterstica de IC es que utiliza dos lneas para transmitir la informacin: una para los
datos y otra para la seal de reloj. Tambin es necesaria una tercera lnea, pero esta slo es la
referencia (masa). Como suelen comunicarse circuitos en una misma placa que comparten una
misma masa esta tercera lnea no suele ser necesaria.

Las lneas se llaman:

SDA: datos
SCL: reloj
GND: tierra

Las dos primeras lneas son del tipo drenador abierto, por lo que necesitan resistencias de pull-up.

Los dispositivos conectados al bus IC tienen una direccin nica para cada uno. Tambin pueden
ser maestros o esclavos. El dispositivo maestro inicia la transferencia de datos y adems genera la
seal de reloj, pero no es necesario que el maestro sea siempre el mismo dispositivo, esta
caracterstica se la pueden ir pasando los dispositivos que tengan esa capacidad. Esta caracterstica
hace que al bus IC se le denomine bus multimaestro.

Las transacciones en el bus I2C tienen este formato:

| start | A7 A6 A5 A4 A3 A2 A1 R/W | ACK | ... DATA ... | ACK | stop | idle |

113
6 PRUEBAS Y RESULTADOS

6.1 TARJETAS DE EVALUACIN

Las primeras pruebas se hacen dentro del rango de trabajo especificado por el fabricante y luego en
condiciones extremas de sobreconsumo de potencia. Muestran que en efecto funcionaron de
acuerdo a lo esperado, comparando los resultados con las respectivas hojas de especificaciones, a
excepcin del TPS2111 que mostro un desempeo menor en el manejo de corrientes a lo que el
fabricante asegura.

6.2 PRUEBAS MDULO DE POTENCIA VERSIN 1

Las pruebas se realizaron en conjunto con el mdulo de integracin. Los resultados de las pruebas
al Mdulo de Potencia versin 1 se consignan en la tabla 6-1:

Tabla 6-1 Resumen resultados de la pruebas del diseo y la implementacin de la EPS v1.0.

La etapa de entrada funciono correctamente.

Los cargadores de bateras cumplieron con su funcin, pero


presentaban sobrecalentamiento atribuible a un diseo
pobre en los planos de disipacin, no se gener en la PCB la
cantidad de vas necesarias para el plano de masa.

Fallo critico en el multiplexor de potencia, se quem en


pruebas de funcionamiento con corriente moderada. Esta
etapa fue reemplazada, pero la falla persisti.

114
Regulador de bus de 5,0 V gener un voltaje rizo de 1 Vp-p.
Tena los mismos inconvenientes del cargador de batera
respecto a la sobretemperatura que es atribuida a los planos
y vas de disipacin insuficientes.

Regulador de 3,3 V present problemas en el inductor.


Zumbido y recalentamiento.

Los interruptores de usuario funcionaron correctamente.

El microcontrolador fallo sin que se haya podido determinar


el motivo. Al reemplazarlo se obtuvo el mismo resultado.
Nunca funciono.

Faltaron las resistencias de Pull-Up necesarias en las seales


de habilitacin de los dos cargadores, a los interruptores de
usuario. Se hicieron adaptaciones para hacer las pruebas.

Se tuvieron que soldar cables para poder activar


manualmente los circuitos integrados para hacer las
pruebas, debido a la falla del microcontrolador.

El diseo de los planos de tierra y voltaje fue deficiente.

115
El ruteo estuvo muy complicado, con muchos caminos
cruzados entre las dos capas de PCB.

Pobre aprovechamiento del espacio, en cuanto a


distribucin y ubicacin de los circuitos.

Se present un error crtico de diseo en la


seleccin del conector del set de bateras, debido
a una mala comunicacin con el fabricante del
set de bateras. Fue necesario crear un adaptador
para poder realizar esta conexin.
Fuente: FOTOS PROPIAS.

Los problemas a resaltar. El selector de fuente primaria TPS2111 que es un multiplexor automtico
(MUX) compara cul de sus dos entradas es mayor y conmuta a esta, sin embargo en las pruebas
nunca conmuto para seleccionar entre los paneles solares o las bateras. La mxima prueba se
realiz con una carga de 6,9 midiendo en la salida de usuario de OBC 5,0 V, una corriente de
salida de 0,8 A antes de quemarse el MUX. Se cambiaron los MUX pero la corriente que soportaron
antes de quemarse era baja en comparacin al consumo pico. Hay que revisar las capacidades de
los inductores asociados a los reguladores de bus, en especial el de 3,3 V, el zumbido siempre fue
fuerte. Los cargadores de batera parecieron funcionar bien pero se calentaban demasiado, se
atribuye a que el fabricante recomienda planos de masa en dos capas extras (4 capas) o un plano
amplio para disipar el calor, tambin la cantidad de vas de disipacin es crtico. Se espera mejorar
el diseo en este aspecto. El circuito regulador de bus de 5,0 V presenta un rizado preocupante, 1
voltio pico a pico que es bastante alto para los requerimientos de usuario. El microcontrolador nunca
sirvi, nunca se encontr la causa, se cambiara por otro con ms puertos ya que el actual no tiene
suficientes pines para las necesidades. Se decide cambiar el conector de bateras, corregir el error
crtico cometido, cambiando por un conector de mayor corriente, adems el actual es de montaje
superficial (SMD), lo que lo hace menos fuerte a la sujecin que uno tipo Through Hole (TH).

6.3 PRUEBAS FINALES MDULO DE POTENCIA VERSIN 3

6.3.1 Pruebas Mecnicas

Las caractersticas fsicas de la tarjeta son evaluadas, verificando dimensiones, peso del Mdulo de
Potencia, e integrando el Mdulo de Potencia a la estructura y al mdulo de vuelo (OBC).

La medicin de las dimensiones de las tarjetas se hicieron con un calibrador milimtrico de 0,05 mm
de precisin. El peso de las tarjetas fue tomado por una bscula digital (como la de los

116
supermercados) marca Texon Technologies con una capacidad mxima de medida de 30 Kg y una
precisin de 5 gr. Los resultados se pueden observar en la tabla 6-2.

Tabla 6-2 Caractersticas fsicas de la EPS. Dimensiones, Peso, Altura.

Altura
TARJETA DIMENSIONES (mm2) PESO (gr)
(mm)
EPS principal 95,6x90,0 56
EPS Auxiliar 91,0x73,0 25
Set de Bateras 90,0x73,5 118
Total 199
13 Con el margen de
EPS principal + EPS Auxiliar + Set de bateras 1995
error:
* EPS principal + EPS Auxiliar + Set Bateras 27
Distancia entre modulo y modulo del
<- La altura del mdulo debe ser o 15mm, o 25mm, o
picosatlite permitida: 30mm, o 40mm, que son las combinaciones de los
altura -> 15 conectores PC-104. Se observa que la altura mxima de
altura -> 25 la EPS* son 27mm. Se pueden colocar dos
altura -> 30 conectores/adaptadores PC-104 de 15mm de altura.
Esta altura representa el ~30% de la altura del CubeSat.
altura -> 40

En la figura 6-1 se puede apreciar una imagen con el Mdulo de Potencia acoplado al Mdulo de
Vuelo de PumpKin (OBC). La forma de la tarjeta no permite que haya colisin entre componentes
como los interruptores RBF Switch y Launch Switch.

Se hace la prueba del correcto funcionamiento de los interruptores RBF Switch y Launch Switch.
Cuando el RBF SW esta oprimido se asla o se corta el flujo de corriente elctrica desde la salida de
los reguladores de entrada (paneles solares) hasta la entrada de los cargadores de batera.
Habilitando al mismo tiempo alimentacin por USB. Cuando se suelta vuelve a conectar los paneles
solares a travs de los reguladores de entrada con los cargadores de batera.

El Launch SW, cuando se oprime mecnicamente, asla o corta la energa proveniente desde las
bateras hacia el resto del sistema picosatlite, porque se abre el circuito. El Launch Switch lo que
hace es aislar la tierra del sistema de bateras de la tierra del resto del sistema del picosatlite
cortando el camino de flujo de corriente. Al hacer la prueba de este interruptor se verifica que en
efecto cumple con su funcin.

117
Figura 6-1 Integracin EPS v3 con el Modulo de Vuelo de PumpKin (OBC) y la estructura.
Fuente: FOTO PROPIA.

6.3.2 Pruebas Elctricas

Para poder realizar las pruebas elctricas se disea e implementa dos tarjetas de adaptacin o de
interface para ser conectadas al Mdulo de Potencia, una es para adaptar otras bateras de Li-Ion
sueltas que no son del set de bateras, y la otra para conectar a cargas que representan los usuarios.
Adicionalmente se hace el ensamble de los cables-conector que han de ser utilizados para traer las
seales de los paneles solares al Mdulo de Potencia. Tambin por defecto un cargador de batera
esta deshabilitado, as como el regulador de bus de 3,3 V de usuario y los interruptores de usuario
de 5,0 V. Antes de comenzar las pruebas se programa el microcontrolador con un cdigo ON/OFF
para habilitar todas las etapas o circuitos de la EPS.

La tarjeta adaptadora para las pruebas del Mdulo de Potencia para conectar bateras sueltas se
puede apreciar en la figura 6-2. En ella se incorporan por cada batera: un conector para el termistor,
uno para la batera, y uno para la seal del calentador. Tambin un conector para alimentar sensores
asociados a las bateras (I2C). Se coloca jumper y puntos de prueba para poder medir las corrientes
y los voltajes de batera.

118
Figura 6-2 Tarjeta adaptadora para realizar mediciones con bateras de Li-Ion sueltas.
Fuente: FOTO PROPIA.

La segunda tarjeta adaptadora se aprecia en la figura 6-3, en donde se muestra que a travs de
bloques terminales (conector de potencia) se conectan las cargas que simulan a los usuarios. En
esta tarjeta se incluye adems los interruptores mecnicos RBF Switch y el Launch Switch que son
iguales a los incorporados entre la estructura del picosatlite y el mdulo de vuelo (OBC) y un
conector USB para cargas bateras que esta implementado en OBC. Adems algunos puntos de
pruebas.

Figura 6-3 Tarjeta para mediciones con cargas que simulan a los usuarios.
Fuente: FOTO PROPIA.

En la figura 6-4 a observa el cable conector ya ensamblado que conecta cada par de paneles solares
al Mdulo de Potencia (conectados en paralelo). Tiene un sistema de enganche o retencin para
asegurar que el cable no se suelte con la vibracin. Este cable se conectara a los paneles solares.
El conector del cable es de la empresa SAMTEC de la familia ISDF, el cable es un cable de tefln
que soporta muy altas temperaturas. A estos cables se conecta una fuente de energa que simulan
los paneles para las pruebas y verificar as el correcto funcionamiento de las etapas del Mdulo de
Potencia.

119
Figura 6-4 Cable que conecta a los paneles solares al Mdulo de Potencia.
Fuente: FOTO PROPIA.

La conexin final de todo el Mdulo de Potencia versin 3 se puede apreciar en la figura 6-5. Un
cable conector por cada par de paneles del satlite en cada uno de los ejes, imagen a la izquierda.
La tarjeta de usuarios en la imagen a la derecha, en donde tambin se aprecia el Set de Bateras
conectada.

Figura 6-5 Conexin cables paneles solares y Usuarios al Mdulo de Potencia.


Fuente: FOTO PROPIA.

6.3.2.1 ELEMENTOS DE MEDIDA

La conexin del Mdulo de Potencia con las tarjetas de adaptacin y los instrumentos de medicin
se puede apreciar en la figura 6-6.

120
Osciloscopio FLUKE 123
Multmetro UNI-T UT58C
Multmetro UNI-T UT61C
Multmetro UNI-T UT33C
Cronometro.
Fuente de Computador Modelo 200A113 Salida 5,0 V Max 20 A.

Figura 6-6 Conexin EPS con las tarjetas de adaptacin y los instrumentos de medicin.
Fuente: FOTO PROPIA.

6.3.2.2 Regulador de Entrada TPS61202

En la figura 6-7 se muestra la etapa de entrada, al lado derecho los conectores de seales
provenientes de los paneles solares, al lado derecho los tres circuitos reguladores acondicionadores.
La lnea azul es la salida comn de 5.0 V.

Figura 6-7 Etapa de entrada del Mdulo de Potencia. Reguladores X-X', Y-Y' y Z-Z'.
Fuente: FOTO PROPIA.

121
PRUEBA 1: verificacin voltaje de entrada vs voltaje de salida sin carga. Individual cada regulador.

- Entrada de Panel X: funcionamiento correcto dentro de las especificaciones establecidas, el


regulador funciona entre 0,3 V y 5,5 V de entrada y siempre proporciona una salida estable de
5,0 V.
- Entrada de Panel Y: funcionamiento correcto dentro de las especificaciones establecidas, los
reguladores funcionan entre 0,28 V y 5,5 V de entrada y siempre proporciona una salida estable
de 5,003 V.
- Entrada de Panel Z: restriccin de voltaje de entrada, este regulador comienza a funcionar a
partir de los 0,78 V, el regulador funcionan entre 0,78 V y 5,5 V de entrada y siempre proporciona
una salida estable de 5,0 V.

Conclusin de esta prueba: El funcionamiento es correcto de los 3 reguladores (elevador boost) de


paneles solares con la nica excepcin del panel Z, donde el Vin necesario es 0,48 V ms alto que
los otros.

PRUEBA 2: verificacin voltaje de entrada vs voltaje de salida sin carga. Combinacin reguladores.

Se busca saber si el voltaje de salida se mantiene con dos o ms paneles alimentando el sistema al
mismo tiempo, para verificar el correcto desempeo de los reguladores-elevadores.

- Combinacin XY: A partir de los 0,38 V de entrada se dispara el voltaje de salida en 5,0 V
estables.
- Combinacin XZ: Se alcanz un voltaje de 4,99 V de salida de los reguladores con un voltaje de
entrada de 0,34 V. (Margen de error de los reguladores 0,05V mx.) El voltaje mnimo entregado
es de 4,95 V.
- Combinacin YZ: Se alcanz un voltaje de 5,0 V de salida de los reguladores con un voltaje de
entrada de 0,45 V.

PRUEBA 3: eficiencia individual de cada regulador de la etapa de entrada variando la carga.

Caractersticas de la prueba: Medicin de la Potencia de Entrada (Pin) versus la Potencia de Salida


(Pout) variando la carga para cada uno de los reguladores de entrada alimentando cada entrada una
a la vez con una batera de Li-Ion que reemplaza como fuente de alimentacin a los paneles solares.
Con esto se calcula la eficiencia de cada regulador en la etapa de entrada. Se verifica el voltaje de
salida y las corrientes de salida variando la carga. Medicin del voltaje de salida directamente a la
salida comn a los tres reguladores (VCELL). La etapa de entrada se puede apreciar arriba en la
figura 6-7. Las tablas detalladas de los resultados de las pruebas se presentan en los anexos. A
continuacin en la figura 6-8 se presenta las grficas de los resultados de las pruebas:

122
ETAPA DE ENTRADA - REGULADOR TPS61202
Regulacion X-X' Regulacion Y-Y' Regulacion Z-Z'

100%
90%
80%
EFICIENCIA (Pout/Pin)

70%
VIpromedio 3,6 V
60%
50%
40%
30%
20%
10%
0%
0 100 200 300 400 500 600 700 800 900 1000
CORRIENTE DE SALIDA (mA)

Figura 6-8 Medicin. Resultados de la etapa de entrada EPS v3. Eficiencia vs Iout.
Fuente: GRAFICA GENERADA - DATOS PROPIOS.

Los reguladores TPS61202 pueden proporcionar corrientes de hasta 1,5 A, pero a un consumo de 1
A se tiene una eficiencia superior al 80 % cuando el voltaje de entrada es de 3,6 V, de acuerdo a la
hoja de especificaciones del fabricante, datos muy cercanos con la grfica de resultados que se
muestran en la figura 6-8 hecha de las mediciones a los reguladores de entrada, uno a uno. El
comportamiento de todos los reguladores es similar.

Cada par de paneles solares ubicados en caras opuestas del picosatlite idealmente podran
proporcionar casi 1 A, si ambos estuvieran iluminados directamente por el sol, en la prctica, uno
est iluminado, y el otro no, por lo que para clculos de disponibilidad de energa elctrica cada
regulador de entrada maneja lo que puede entregar un panel solar, es decir 500mA como mximo.

Si observamos la grfica entre los 80 mA y los 500 mA de corriente de salida la eficiencia del
regulador se mantiene sobre el 85 %. El regulador TPS61202 puede operar con voltajes tan bajos
en la entrada como 0,5V, donde proporciona desde 10 mA de corriente de salida y se tiene una
eficiencia del 55 %.

PRUEBA 4: funcionamiento con uno, dos y tres reguladores trabajando al mismo tiempo.

Caractersticas de la prueba: se utiliza una fuente conmutada 5,0 V en reemplazo de los paneles
solares. En esta prueba se alimentan uno, dos o tres reguladores de entrada para verificar su
funcionamiento combinado; por el otro se varia la carga de prueba. Al final se determina la eficiencia

123
total combinada (Iout*Vout)/(Iin-total*Vin). El voltaje de salida Vout es el mismo voltaje Vcell e el diseo.
El circuito de prueba se muestra en la figura 6-9.

Figura 6-9 Circuito de prueba eficiencia reguladores de entrada.


Fuente: GRAFICA GENERADA - DATOS PROPIOS.

Con los datos obtenidos en las mediciones se crea una tabla de valores de voltajes y corrientes, los
cuales se puede consultar en detalle en los anexos. Una grfica de la eficiencia versus la corriente
de salida se puede ver en la figura 6-10, para las 7 diferentes combinaciones.

Eficiencia con uno, dos y tres reguladores de entrada


TPS61202 funionando al mismo tiempo.
100,00%
90,00%
80,00%
EFICIENCIA (Pout/Pin)

70,00%
60,00%
50,00%
40,00%
30,00%
20,00%
10,00%
0,00%
150 250 350 450 550 650 750 850 950 1050
CORRIENTE DE SALIDA (mA)

X-X' + Y-Y' + Z-Z' Y-Y' + Z-Z' X-X' + Z-Z' X-X' + Y-Y' Z-Z' Y-Y' X-X'

Figura 6-10 Eficiencia con uno, dos y tres reguladores de entrada TPS61202 funcionando al mismo tiempo.
Fuente: GRAFICA GENERADA - DATOS PROPIOS.

124
El comportamiento de la eficiencia es similar en forma y valores, separndose los valores cuando el
requerimiento de corriente es ms alto y solo un regulador est trabajando, en los dems casos
tiende a mantenerse estable la eficiencia cuanta ms corriente es requerida por la carga porque los
reguladores se reparten el manejo de corriente aunque no siempre de forma equitativa. El regulador
de entrada cumple con su funcin de mantener el voltaje de salida aproximadamente fijo sin importar
que el voltaje de entrada vari. Es de anotar que el regulador X-X tuvo problemas en un principio
por lo que su bobina fue reemplazada. Y es el que menos desempeo se aprecia.

La eficiencia se mantiene sobre 85% entre el rango de medida entre los 150 mA y los 500 mA,
recordar que el mximo de corriente que entrega un panel solar son 500 mA. De todas maneras en
el orden de consumo de corriente de los 1 A la eficiencia est en torno al 75%.

6.3.2.3 Voltaje de salida cargador de batera, alimentado desde paneles solares, sin carga.

PRUEBA 5: sobre el circuito cargador de batera, sin carga.

Alimentando con el panel X con ~5,0 V (Vcell) y presentes a la entrada de los cargadores de batera
A y B se esperan 4,4 V a la salida del cargador de la batera B o la salida del cargador de la batera
A, debido a que la alimentacin por paneles solares est presente las bateras no colocan el voltaje
de salida del cargador de batera. Luego de hacer las mediciones se obtiene 4,382 V de la salida a
la salida del cargador B. La medicin en el cargador A arrojo 4,390 V. Valor cercano al especificado
en la hoja de especificaciones del fabricante.

6.3.2.4 Pruebas elctricas al Set de Bateras

Para el Set de Bateras versin 3 se procede a hacer las respectivas pruebas elctricas de carga y
descarga de las bateras.

PRUEBA 6: Pruebas de descarga de las bateras. A, B, A y B. para la batera A o batera B se


coloca una carga resistiva terica de 8,6 , para descargar ambas bateras al tiempo se
utiliza una carga resistiva de valor terico 4,9 .

El circuito de pruebas aparece en la figura 6-11:

125
Figura 6-11 Circuito de prueba descarga de batera(s).
Fuente: DISEO PROPIO.

La grafica de descarga de la batera A se muestra en la figura 6-12:

DESCARGA BATERIA A
100%
3,75
POTENCIA (W)

90%

EFICIENCIA
3,25 80%

70%
2,75
60%
2,25
50%

1,75 40%
0:00:00 0:14:24 0:28:48 0:43:12 0:57:36 1:12:00
TIEMPO

Pin Pout EFICIENCIA

Figura 6-12 Descarga Batera A.


Fuente: GRAFICA GENERADA - DATOS PROPIOS.

La grafica de descarga de la batera B se muestra en la figura 6-13:

126
DESCARGA BATERIA B
3,55 100%
POTENCIA (W) 3,35
90%

EFICIENCIA
3,15
2,95 80%
2,75
70%
2,55
2,35 60%
2,15
50%
1,95
1,75 40%
0:00:00 0:14:24 0:28:48 0:43:12 0:57:36 1:12:00
TIEMPO
Pin Pout EFICIENCIA

Figura 6-13 Descarga Batera B.


Fuente: GRAFICA GENERADA - DATOS PROPIOS.

La grfica de descarga de las bateras A y B presentes se muestran en la figura 6-14:

127
Descarga Baterias A y B al mismo tiempo a traves de la EPS
7,000 90,00%
80,00%
6,000
70,00%
5,000
60,00%
POTENCIA (W)

EFICIENCIA (%)
4,000 50,00%

3,000 40,00%
30,00%
2,000
20,00%
1,000
10,00%
0,000 0,00%
00:00:0000:07:1200:14:2400:21:3600:28:4800:36:0000:43:1200:50:2400:57:3601:04:4801:12:00
TIEMPO (h)

PbatA PbatB Pin Pout h


Figura 6-14 Descarga Bateras A y B a travs de la EPS.
Fuente: GRAFICA GENERADA - DATOS PROPIOS

PRUEBA 7: Pruebas de carga de la batera A, carga batera B.

El circuito de pruebas aparece en la figura 6-15:

Figura 6-15 Circuito de prueba carga de batera.


Fuente: DISEO PROPIO.

Los resultados de las pruebas se pueden apreciar en las figuras 6-16 y 6-17:

128
CARGA BATERIA A, CARGA BATERIA B
4,800 0,900

CORRIENTE (mA)
4,700 0,800
VOLTAJE (v)
4,600 0,700
4,500 0,600
4,400 0,500
4,300 0,400
4,200 0,300
4,100 0,200
4,000 0,100
00:00:00 01:12:00 02:24:00 03:36:00 04:48:00
TIEMPO hh:mm:ss

Vin Vbat A Vbat B Iin Ibat A Ibat B

Figura 6-16 Carga Batera A, Carga Batera B.


Fuente: GRAFICA GENERADA - DATOS PROPIOS.

POTENCIAS Y EFICIENCIA EN LA CARGA DE


LAS BATERIAS
4 100%
POTENCIA (w)

3,5
95%

EFICIENCIA
3
2,5 90%

2 85%
1,5
80%
1
0,5 75%
00:00:00 01:12:00 02:24:00 03:36:00 04:48:00
TIEMPO hh:mm:ss

Pin PbatA PbatB Pbats Eficiencia

Figura 6-17 Potencias y Eficiencia en la Carga de las Bateras.


Fuente: GRAFICA GENERADA - DATOS PROPIOS.

6.3.2.5 EPS v3 todo el modulo

129
PRUEBA 8: Pruebas realizadas sin bateras presentes, alimentando la carga con los paneles solares.

La grafica 6-18 muestra la eficiencia la eficiencia del Mdulo de Potencia en el rango de 200 mA a
650 mA de corriente de salida.

Eficiencia Modulo de Potencia sin baterias


presentes
78%
76%
EFICIENCIA

74%
72%
70%
68%
66%
64%
200 250 300 350 400 450 500 550 600 650
Corriente de Salida (mA)

X-X'+Y-Y'+Z-Z' Y-Y'+Z-Z' X-X'+Z-Z' X-X'+Y-Y' Z-Z' Y-Y' X-X'

Figura 6-18 Segmento amplificado eficiencia Mdulo de Potencia sin bateras.


Fuente: GRAFICA GENERADA - DATOS PROPIOS

PRUEBA 9: medicin voltaje de salida de los reguladores de bus de 3,3 V y de 5,0 V, sin carga.

Voltaje Bus A de 5,0 V = Voltaje Bus A de 5,0 V = 5,0 V.

En la EPS hay dos reguladores de Bus de 3,3 V, el primero de ellos llamado VSYS se encarga de
alimentar a la circuitera de la EPS, principalmente al microcontrolador y los sensores I2C. El
segundo regulador de 3,3 V llamado simplemente Bus 3,3 V es para un bus general enfocado
principalmente a la alimentacin de los microcontroladores en los mdulos de actitud y
comunicaciones, y del OBC si este lo requiere, aunque este ltimo tiene su propio regulador
implementado en su tarjeta por seguridad.

Se verifica el correcto funcionamiento de estos buses arrojando los siguientes voltajes a un consumo
de prueba de 40mA para el VBUS3.3 (bus general usuarios picosatlite):

VBUS3.3 = 3,333 V <- Bus general presente en el conector PC-104

VSYS = 3,325 V <- Voltaje de alimentacin para la EPS

130
Prueba 9: verificacin voltajes de salida de usuarios. OBC5.0V, COM5.0V, ATT5.0V. Sin carga.

La tabla 6-3 muestra el voltaje medido a la salida de los usuarios sin carga, sin importar que la fuente
primaria presente sea las bateras o los paneles solares:

Tabla 6-3 OBC5.0V, Comunicaciones 5,0 V, Actitud 5,0 V. Verificacin voltaje de salida sin carga.

USUARIO VOLTAJE DE SALIDA MEDIDO SIN CARGA (V)


V_OBC_5.0V 4,99
V_COM_5.0V 4,98
V_ATT_5.0V 4,99

Prueba 9: prueba usuario comunicaciones 5,0 V, variando la carga, paneles solares presentes y
bateras presentes.

La tabla 6-4 muestra algunos valores del comportamiento en la salida del usuario comunicaciones
cuando se varia la carga con paneles solares presentes y bateras presentes.

Tabla 6-4 comunicaciones 5,0 V, variando la carga, paneles solares presentes y bateras presentes.

CARGA () VOLTAJE_COM_5,0V (V) CORRIENTE_COM_5.0V (mA) Potencia (mW)

100 4,99 49 244.51


46.1 4,98 108 537.84
9.5 4,95 519 2569.05
5.4 4,90 900 4410.00
4.8 4,88 1006 4909.28

6.3.3 Pruebas Software

- Se hicieron pruebas de software implementando un cdigo ON-OFF para habilitar/deshabilitar


los circuitos integrados de la EPS v3, correspondientes a los interruptores de usuario de 5,0 V y
3,3 V. Por defecto los interruptores de usuario estn deshabilitados en el inicio, en la pruebas se
comprueba que en efecto que no hay flujo de energa. Una vez programado el Microcontrolador
MSP430F1612 se habilitan las salidas de usuario. El cdigo se puede ver en los anexos.

- En asocio con el grupo de Mdulo de Comunicaciones se implementa un cdigo con el programa


CrossWorks for MSP430 para leer sensores I2C, y as armar los datos de telemetra del Mdulo
de Potencia, se hace la prueba en discreto con los sensores y luego se programa la EPS. Se
revisa los registros y variables en el debug del programa. En la lectura se los sensores I2C tanto
temperatura como corriente se hizo lectura de estos sin problema. (El cdigo computacional se
puede apreciar en los anexos). La informacin de temperatura y potencia es empaquetada para
su uso en telemetra.

131
7 CONCLUSIONES FINALES

Las pruebas elctricas al Mdulo de Potencia muestran un buen desempeo de este cumpliendo
con los requerimientos del estndar CubeSat y de la misin, en el que cada etapa cumple con las
funciones diseadas y que estn enfocadas a cumplir con los objetivos finales para el picosatlite
que son bsicamente el acondicionamiento, almacenamiento y distribucin y proteccin de buses de
potencia elctricas a los usuarios, con base en una disponibilidad energtica y un consumo pico,
todo bajo un sistema de gestin de energa controlado de forma automtica y por microcontrolador.

Si la comunicacin con el OBC se realizar por el protocolo de comunicaciones I2C y no por un SPI
aprovechando las capacidades de microcontroladores ms avanzados se simplificara ms el diseo.
En cuanto a la EPS un I2C que maneje sensorica, y otro I2C para la comunicacin general de todos
los Mdulos del picosatlite con el OBC. Por ejemplo el MSP430F2618 es un MSP430F1612
mejorado que incorpora un I2C de ms.

Una vez lanzado el picosatlite al espacio desde el vehculo espacial, se energiza primero la EPS, y
esta a su vez hace una secuencia de energizacin de los diferentes mdulos. El OBC una vez
energizado es quien le dice al Mdulo de Comunicaciones cuando despliegue las antenas una vez
la EPS le da la seal de listo, y el Modulo de Comunicaciones acta desplegando el mdulo de las
antenas. Se hace necesario para futuras misiones de picosatlite que la EPS tenga control sobre el
despliegue de antenas como un forma de respaldo en el accionamiento del despliegue de antenas.

Analizando mdulos de potencia comerciales y otros mdulos diseados por universidades, se


encontrar que hay una tendencia a respetar la distribucin de pines de potencia fijos, es decir
mantener buses generales. Esto lo hacen porque en caso de fallar un diseo propio, pueda ser
reemplazado por una versin comercial que se adapte a la misma distribucin de pines fija,
reduciendo as el riesgo de fallo o dilatacin de la misin.

El Mdulo de Potencia fue diseado a dos Capas Impresas. Manejar 4 capas ofrece ventajas
adicionales como por ejemplo, mayor manejo en la disipacin de calor, aislamiento de interferencias
electromagnticas, manejo de seales de informacin. En cuatro capas el manejo de seales de
informacin permitira en el caso del Mdulo de Potencia para el picosatlite experimental CubeSat
UD Colombia 1 evitar estar cambiando entre dos capas la misma pista, al disminuir los trazados se
ahorra en espacio, lo que permite e incorporar ms circuitos al mismo impreso. En el caso de la EPS
v1 se hicieron dos tarjetas por ese motivo, pero adems porque en el caso de los cargadores de
batera, el fabricante recomienda disear a cuatro capas, o a dos capas con un plano amplio para la
disipacin de calor.

En la EPS v1 el regulador conmutado para el Bus de 5,0 V presento un rizado de 1 V pico a pico lo
cual es una variacin que no es permitida. Lo que se hallo es que el condensador de Tntalo
asociado al circuito era el responsable de eso. Los condensadores de Tntalo no son ideales, y
presentan una especie de resistencia interna a la que se denomina ESR, el valor utilizado era de 700
m que es lo mnimo que se consigui en el mercado nacional. Para la EPS v3 se incorpor

132
condensadores de tntalo de 10 m lo que redujo a una variacin mxima de voltaje de salida
(rizado) a 100 mV.

El multiplexor de usuario TPS2111 implementado en el Mdulo de Potencia versin 1 no funciono


para seleccionar entre fuentes primarias de energa, no soporta mucha corriente. Lo planteo Chaves
en su trabajo, y en las pruebas de Andrs y Julieth en la implementacin del primer mdulo de
potencia de Chaves tampoco se comport bien. Razn por la cual en la versin 3 de la EPS se
cambi la topologa para suprimir este inconveniente.

Las pruebas trmicas de vaco y vibracin no se pudieron llevar a cabo por que la universidad no
cuenta con los elementos para tal fin. Esperamos en un futuro poder hacerlas en algn lugar en
Colombia que cuenten con esos equipos o afuera en convenio con otros programas espaciales.

Disear una EPS trae beneficios para las misiones espaciales hechas en Colombia, no solo porque
reduce costos, y se puede escalar a satlite ms grandes, sino que se incrementa el conocimiento
en Colombia, tambin estos diseos se pueden llevar a otras aplicaciones en tierra como los circuitos
remotos que necesitan manejar una fuente solar por ejemplo como fuente de energa primaria tal es
el caso de los sensores remotos.

133
REFERENCIAS BIBLIOGRAFICAS

CHAVES GARCA, Augusto Enrique. Sistema de Potencia Fotovoltaica para Equipos Remotos:
Diseo del Mdulo de Potencia para el Picosatlite Experimental CubeSat UD. Bogot, 2008. Trabajo
de Grado (Ingeniero Electrnico). Universidad Distrital Francisco Jos de Caldas. Facultad de
Ingeniera. Coordinacin de Ingeniera Electrnica.

RODRGUEZ FONSECA, Andrs Alexander y ROJAS DURN, Julieth Paola. Anlisis con
Metodologa Rup (Rational Unified Process) e Implementacin de un Diseo de una Etapa de
Potencia para Picosatlite de Tipo CubeSat. Bogot, 2011. Trabajo de Grado (Ingeniero Electrnico).
Universidad Distrital Francisco Jos de Caldas. Facultad de Ingeniera. Coordinacin de Ingeniera
Electrnica.

AGUILAR PIRACHICN Clara Milena y AMAYA CAMARGO Andrs Augusto. Estudio del Sistema
de Celdas Fotovoltaicas para la Implementacin de los Paneles Solares del CubeSat Colombia 1.
Bogot, 2011. Trabajo de Grado (Ingeniero Electrnico). Universidad Distrital Francisco Jos de
Caldas. Facultad de Ingeniera. Coordinacin de Ingeniera Electrnica.

PUERTO LEGUIZAMN, Gustavo A. y GONZLEZ LLORENTE Jess D. Estimacin de la Cantidad


de Potencia Suministrada por las Celdas Fotovoltaicas de un CubeSat: Proyecto Anlisis para
Optimizar el Sistema de energa de un CubeSat 3u, cdigo USA-2011-0077. Bogot, 2013.
Investigacin financiada por la Universidad Sergio Arboleda. Desarrollo Tecnolgico del Grupo de
Investigacin Esinusa. Fondo de Investigacin e Innovacin de la Universidad Sergio Arboleda
(FIIUSA).

PORTILLA, Jos Gregorio. La rbita del satlite Libertad 1. Rev. Acad. Colomb. Cienc. 36 (141):
491-500, 2012. ISSN: 0370-3908.

LARSON James R. y WERTZ Wiley J. Space Mission Analysis and Design. Tercera Edicin. El
Segundo, California: Microcosm, 1999.

JEPPESEN, Thomas y THOMSEN, Michael. Mid-curriculum / Special Course at Eltek, DTU: Design
of a Power Supply System for DTUsat. 2002. Technical University of Denmark.

ANDERSEN, Gert K (Supervisor): Group 01gr509. Power Supply for the AAU CubeSat. December
20, 2001. University of Aalborg: Institute of Electronic Systems.

MONROY GMEZ, Ral. Experiencias de las Universidades en el Desarrollo de Pequeos Satlites.


Mxico, D.F., Octubre de 2013. Trabajo de Grado (Ingeniero en Telecomunicaciones). Universidad
Nacional Autnoma de Mxico. Facultad de Ingeniera.

SERRANO ARELLANO, Antonio de Jess. Requerimientos para desarrollar y poner en rbita


satlites CubeSat dentro de un entorno universitario. Mxico, D.F., Febrero 2015. Trabajo de Grado

134
(Ingeniero en Telecomunicaciones). Universidad Nacional Autnoma de Mxico. Facultad de
Ingeniera.

GONZLEZ LORENZO, Marta Carolina. Concepcin del Suministro Energtico para un CubeSat.
Madrid, Julio de 2015. Trabajo de Grado (Ingeniero Electrnico). Universidad Pontificia Comillas,
Escuela Tcnica Superior De Ingeniera (Icai), Ingeniera Electromecnica.

BURT, Robert. Distributed Electrical Power Systems In CubeSat Applications. Logan, Utah,2011.
Trabajo de grado (Electrical Engineering). Utah State University. Electrical and Computer
Engineering.

ERB, Daniel Martin. Evaluating the Effectiveness of Peak Power Tracking Technologies for Solar
Arrays on Small Spacecraft. Trabajo de Grado (Electrical and Computer Engineer). Lexington,
Kentucky, 2011. University of Kentucky. Electrical and Computer Engineering.

PIQUERAS CARREO, Javier. Diseo del Mdulo de Clculo de Potencia Elctrica para su
aplicacin en una CDF. Madrid, 2013/2014. Universidad Politcnica De Madrid. Escuela Tcnica
Superior de Ingeniera Aeronutica y del Espacio. Ciencias y Tecnologas Aeroespaciales.

FRIEDEL, Jonas y MCKIBBON, Sean. Thermal Analysis of the CubeSat CP3 Satellite. San Luis
Obispo, CA, Julio de 2011. California Polytechnic State University. Aerospace Engineering
Department.

STUDENTS SPACE ASSOCIATION. PW-SAT2: Preliminary Requirements Review. Varsovia, Mayo


de 2014. Wydzia Mechaniczny Energetyki I Lotnictwa. Politechnika Warszawska.

TEXAS INSTRUMENTS. PCB Design Guidelines For Reduced EMI: Document SZZA009. November
1999.

TEXAS INSTRUMENTS. ESD and RF Mitigation in Handheld Battery Pack Electronics: Document
SLUA460. Marzo 2008.

SWISSCUBE: Prepared by JORDAN Fabien. Phase A, Electrical Power System (EPS): Final report.
Junio de 2006. Ecole Polytechnique Fdrale de Lausanne. http://ctsgepc7.epfl.ch/

SWISSCUBE: Prepared by JORDAN Fabien. Phase B, Electrical Power System (EPS): Report of
Diploma. Diciembre de 2006. Ecole Polytechnique Fdrale de Lausanne. http://ctsgepc7.epfl.ch/

POLASCHEGG, Mario. Study of a Cube-Sat Mission. Graz, 2005. University of Graz. Master of
Sciences of the Post-graduate University Course Space Sciences.

135
http://www.cubesat.org/images/developers/cds_rev13_final2.pdf. CubeSat Design Specification
(CDS) REV 13. Actualizado el 4 de junio de 2015. California Polytechnic State University San Luis
Obispo, CA 93407.

http://www.cubesat.org/images/LaunchProviders/p-pod_mkiiireve_userguide_cp-ppodug-1.0-
1_rev1.pdf. Poly Picosatellite Orbital Deployer Mk. III Rev. E: User Guide. California Polytechnic State
University San Luis Obispo, CA 93407.

136
ANEXO 1
Documentos anexados en el formato digital

En este anexo se incluye un listado con los documentos y otros


archivos asociados al proyecto y que estn en formato electrnico.

En una carpeta llamada ANEXOS se encuentran las siguientes subcarpetas con el respectivo
contenido:

- Carpeta HOJAS DE ESPECIFICACIONES: contiene las hojas especificaciones de los


componentes utilizados en el diseo del Mdulo de Potencia, tales como las celdas solares, los
circuitos integrados, los inductores, los conectores.
- Carpeta TABLAS: contiene archivos Excel (.xlsx) diversos, y eso incluye datos de las mediciones
elctricas realizadas al Mdulo de Potencia.
- Carpeta ALTIUM DESIGNER: contiene los archivos de diseo en computador del Mdulo de
Potencia. Incluye esquemticos de los circuitos (PCB), y de la tarjeta de circuito impreso, as
como los archivos que se generan para la fabricacin y ensamble de la PCB (archivos Gerber).
- Carpeta SOFTWARE: contiene los cdigos informticos de programacin del microcontrolador
MSP430.
- Carpeta PRUEBAS: contiene fotos y videos de la tarjeta de Mdulo de Potencia y otras tarjetas
diseadas, y de las pruebas realizadas en el Mdulo de Potencia.

137
ANEXO 2
Siglas, Acrnimos, Abreviaturas.

En este anexo lista las siglas, acrnimos, abreviaturas.

Attitude Determination Sistema de Control y Determinacin de Actitud (Modulo


ADCS
Control System de Actitud)
Attitude Determination Sistema de Determinacin de Actitud (Modulo de
ADS
System Actitud)
ATT Actitud Referente al Mdulo de Actitud
Beginning Of Life Tiene que ver con la fabricacin y ciclos de vida de un
BOL
componente al inicio de su vida til
COM Comunicaciones Referente al Mdulo de Comunicaciones
Satlite basados en un cubo Estndar para pequeos satlites de tipo acadmico
CubeSat de arista 10 cm
DET Direct Energy Transfer Transferencia Directa de Energa
Dynamic
DPPM Power-Path Administrador de Camino de Potencia Dinmico
Management
Denmark Technical
DTU Universidad Tcnica de Dinamarca
University
El electrocardiograma (ECG/EKG) es la representacin
ECG ElectroCardioGrama
grfica de la actividad elctrica del corazn
Interrupcin de la operacin de un dispositivo electrnico o circuito
ElectroMagnetic cuando se encuentra en la proximidad de un campo electromagntico
EMI/RFI en la frecuencia de radio de espectro (RF) causada por otro
Interference
dispositivo electrnico o circuito.
Tiene que ver con la fabricacin y ciclos de vida de un
EOL End of Life
componente al final de su vida til
Electrical Power Sistema de Potencia Elctrica (Mdulo de Potencia)
EPS
System
Grupo de Investigacin Grupo de Investigacin de la Universidad Distrital
GITEM
en TEleMedicina Francisco Jos de Caldas
I2C Inter-Integrated Circuit Bus de Comunicaciones Serial
International Amateur
IARU Unin Internacional de Radioaficionados
Radio Unin
LEO Low Earth Orbit rbita Baja Terrestre
Tecnologa de almacenamiento de alta densidad
Li-Ion Battery Batera de Litio Ion
basada en Iones de Litio
LSW Launch Switch Interruptor de Lanzamiento
Mximum Power Point Seguimiento del Mximo Punto de Potencia
MPPT
Tracking
Familia de microcontroladores de 16 bits fabricados
MSP430 Microcontrolador
por Texas Instruments
Computador a Bordo (Modulo de OBC), maneja datos y
OBC On Board Computer
control del picosatlite

138
Poly-Picosatellite Orbital Mecanismo de lanzamiento en el cohete diseado para lanzar los
P-POD Deployer picosatlites CubeSat al espacio
Estndar de conexin Especificacin Modular para aplicaciones empotradas o industriales
PC104 modular que utiliza un conector de 104 pines
PCB Printed Circuit Board Tarjeta de Circuito Impreso

Picosatlite Satlite pequeo Satlite de 1000cm3 cuyo peso oscila entre 0.1 kg y 1 kg

PPT Peak Power Trackers Seguidor de Pico de Potencia

RBF Remove Before Flight Interruptor de Remocin Antes del Vuelo


Rational Unified Metodologa de anlisis de sistemas/procesos y
RUP
Process desarrollo de software
SCL Serial CLock Lnea de transmisin del Reloj en I2C
Error que se produce por efectos de la radiacin
SEL Single Event Latch-up
(partculas) y que puede daar dispositivos.
Error que se produce por efectos de la radiacin
SEU Single Event Upset
(partculas) sobre la lgica.
SDA Serial DAta Lnea de datos en I2C
Sistema de Potencia Generacin de energa a partir de celdas solares. Tiene
SPFV
Fotovoltaica que ver con el Mdulo de Potencia

139
ANEXO 3
Lista componentes circuitos de las tarjetas del Mdulo de Potencia

En este anexo se hace un resumen del listado la lista de componentes


utilizados para el diseo y la implementacin del Mdulo de Potencia, los
detalles de los componentes se pueden ver en el anexo digital.
TIPO DE COMPONENTE REFERENCIA QTY FABRICANTE CIRCUITO/DESCRIPCION TARJETA

Acondicionamiento de
Circuito Integrado TPS61202 3 Texas Instruments EPS Principal
Energa Etapa de Entrada
Cargador de Batera
Circuito Integrado BQ24070 2 Texas Instruments EPS Auxiliar
Sistema DPPM
Circuito Integrado TPS61032 2 Texas Instruments Generacin Bus de 5.0V EPS Principal
Circuito Integrado TPS79033 2 Texas Instruments Generacin Bus 3.3V EPS Principal
Distribucin de Potencia EPS Principal (1)
Circuito Integrado TPS2069 3 Texas Instruments
Proteccin de la Carga y EPS Auxiliar (2)
Distribucin de Potencia
Circuito Integrado TPS2051B 2 Texas Instruments EPS Principal
Proteccin de la Carga
Circuito Integrado SN74AHC125 1 Texas Instruments Buffer Proteccin Lgica EPS Principal
Circuito Integrado MSP430F1612 1 Texas Instruments Microcontrolador EPS Principal
Circuito Integrado LM75A 3 Texas Instruments Sensor Temperatura I2C Set Bateras
Sensor Corriente-Potencia
Circuito Integrado INA219 2 Texas Instruments Set Bateras
I2C
Conector ESQ-126-39-G-D 2 SAMTEC PC104 - Modular EPS Principal
Conexin paneles solares y
Cable-Conector SFSDT-05-30-G- 3 SAMTEC EPS Principal
EPS
Conector TFM-105-01-S-D-WT 3 SAMTEC paneles solares EPS Principal
Conexin EPS Principal con
Conector TFM-105-01-S-D-A 3 SAMTEC EPS Principal
EPS Auxiliar
Conexin EPS Auxiliar con
Conector SFM-105-01-S-D-A 3 SAMTEC EPS Auxiliar
EPS Principal
Programacin
Conector ZF1-10-01-T-WT 1 SAMTEC EPS Principal
Microcontrolador
Conexin EPS Auxiliar con
Conector TFM-107-01-S-D-A 1 SAMTEC EPS Auxiliar
Set de Bateras
Conexin Set de bateras
Conector SFM-107-01-S-D-A 1 SAMTEC Set de Bateras
con EPS Auxiliar
Acondicionamiento de
Inductor LPS4018-222MLB 3 Coilcraft EPS Principal
Energa Etapa de Entrada
Inductor XAL6060-682MEB 2 Coilcraft Generacin Bus de 5.0V EPS Principal
T495D (Tantalum Bajo
Capacitor 2 Kemet Generacin Bus de 5.0V EPS Principal
ESR, 330uF)
Capacitor Encapsulado 0605 18 (Varios) EPS Principal EPS Principal
Capacitor Encapsulado 0805 29 (Varios) EPS Principal EPS Principal
Capacitor Encapsulado 1206 2 (Varios) EPS Principal EPS Principal
Capacitor Encapsulado 0805 18 (Varios) EPS Auxiliar EPS Auxiliar
Resistor Encapsulado 0805 14 (Varios) EPS Principal EPS Principal
Resistor Encapsulado 1206 5 (Varios) EPS Principal EPS Principal
Resistor Encapsulado 0805 8 (Varios) EPS Auxiliar EPS Auxiliar
Resistor Encapsulado 1206 6 (Varios) EPS Auxiliar EPS Auxiliar
Encapsulado SOT23-3N
NPN Bipolar Transistor 2 Fairchild EPS Principal EPS Principal
DBZ
Cristal 32.768 kHz TH 1 - EPS Principal EPS Principal
Cristal 8MHz SMD 1 - EPS Principal EPS Principal
Mximo aproximado:
PCB 90mm x 97mm 1.6 mm 1 Microensamble EPS Principal EPS Principal
espesor FR4

140
90mm x 72mm 1.6 mm
PCB 1 Microensamble EPS Auxiliar EPS Auxiliar
espesor FR4
Mximo aproximado:
PCB 90mm x 72mm 1.6 mm 1 Microensamble Set de Bateras Set de Bateras
espesor FR4
Calentador KHLV-103/5P 2 OMEGA Set de Bateras Set de Bateras
Batera DLP 375388-01 2 DANIONICS Set de Bateras Set de Bateras
Separacin y aseguramiento
Espaciadores (Stand- entre EPS Principal y EPS
de 9.53mm y 5.93mm 4+4 - -
Off) Auxiliar, y entre EPS Auxiliar
y Set de Bateras

141
ANEXO 4
Distribucin pines Mdulo de Potencia conector PC104

En este anexo se hace presenta la distribucin final de pines del conector de


PC104 del Mdulo de Potencia.

H1 H2

1 2 1 2

3 4 3 4

5 6 5 6

7 8 7 8

9 10 9 10

11 12 11 12

13 14 13 14

15 16 15 16

17 18 17 18

19 20 19 20

21 SCL SENSORES I2C SECUNDARIO 22 21 22

23 SCL SENSORES I2C SECUNDARIO 24 23 24

25 26 25 5,0V BUS BUS PERMANENTE 5,0V 26 5,0V BUS BUS PERMANENTE 5,0V

27 28 27 3,3V BUS BUS PERMANENTE 3,3V 28 3,3V BUS BUS PERMANENTE 3,3V

29 30 29 GND GND 30 GND GND

31 32 USB 5,0V SALIDA USB 5,0V 31 A_GND A_GND 32 GND GND

33 34 33 SW-RBF S0 (V_CELL) BATT POS 34 SW-RBF S0 (V_CELL) BATT POS

35 36 35 SW-Launch S1 (GND_BAT) 36 SW-Launch S1 (GND_BAT) BATT POS

37 38 37 SW-RBF S2 (USB 5,0V) DUMMY LOAD 38 SW-RBF S2 (USB 5,0V) DUMMY LOAD

39 40 39 SW-Launch S3 (No Conexin) 40 SW-Launch S3 (No Conexin)

41 SDA PRINCIPAL I2C PRINCIPAL 42 41 SW-RBF S4 (V_BAT_IN) BCR OUT 42 SW-RBF S4 (V_BAT_IN) BCR OUT

43 SCL PRINCIPAL I2C PRINCIPAL 44 43 SW-Launch S5 (GND) 44 SW-Launch S5 (GND)

45 46 45 BAT BUS BAT BUS 46 BAT BUS BAT BUS

47 5,0V OUT 1 OBC 48 3,3V OUT 1 OBC 47 48

49 5,0V OUT 2 ATT 50 3,3V OUT 2 ATT 49 50

51 5,0V OUT 3 COM 52 3,3V OUT 3 COM 51 52

142
ANEXO 5
Hoja de Especificaciones Celda Solar AzurSpace 3G-28%

En este anexo se presenta la hoja de especificaciones de la celda solar


utilizadas para el Modulo de Paneles Solares.
Tecnologa de Triple Juntura, 28 % de eficiencia.

143
ANEXO 6
Hoja de Especificaciones Paneles Solares Ensamblados por Sequoia
Space

En este anexo se presenta la hoja de especificaciones de los paneles solares


ensamblados por SequoiaSpace con base en el diseo del Mdulo de Paneles
Solares.

144
145
146
ANEXO 7
Hoja de Especificaciones Bateras Danionics DLP 375388-01

En este anexo se presenta la hoja de especificaciones de las bateras


utilizadas para el Almacenamiento de energa del Mdulo de Potencia.

147
148
149
ANEXO 8
Hoja de Especificaciones Calentador de Bateras KHLV-103/5P

En este anexo se presenta la hoja de especificaciones del calentador para las


bateras utilizado en el Mdulo de Potencia.

150
ANEXO 9
Software habilitacin y des-habilitacin ICs Mdulo de Potencia
Software: CrossWork
Microcontrolador: MSP430F1612

#include <msp430.h>
/*
* main.c
*/
int main(void)
{
WDTCTL = WDTPW | WDTHOLD; // Stop watchdog timer
P1OUT = 0;
P2OUT = 0;
P1DIR |= BIT7;
P2DIR |= BIT1 + BIT3;
P1OUT |= BIT7;
P2OUT |= BIT1 + BIT3;
return 0;
}

151
ANEXO 10
Software lectura sensores temperatura LM75A
Software: CrossWork
Microcontrolador: MSP430F1612

#include <__cross_studio_io.h>
#include <MSP430.h>

short a,b = 0;
float T = 0;

void main(void)
{
WDTCTL = WDTPW + WDTHOLD; // Stop watchdog
P5DIR |= BIT0; // Set P1.0 to output direction
P3SEL |= 0x0A; // Assign I2C pins to module
U0CTL |= I2C + SYNC; // Switch USART0 to I2C mode
U0CTL &= ~I2CEN; // Recommended I2C init procedure
I2CTCTL = I2CSSEL_2; // SMCLK
I2CSCLH = 0x03; // High period of SCL
I2CSCLL = 0x03; // Low period of SCL
I2CNDAT = 0x01; // Transmit one byte
I2CSA = 0x4A; // Slave address
U0CTL |= I2CEN; // Enable I2C, 7 bit addr,
CCTL0 = CCIE; // CCR0 interrupt enabled
TACTL = TASSEL_2 + MC_2; // SMCLK, contmode

//__enable_interrupt();
//_BIS_SR(LPM0_bits); // Enter LPM0 w/ interrupt
}

// Timer A0 interrupt service routine


/*#pragma vector=TIMERA0_VECTOR
__interrupt void Timer_A (void)
{
if (I2CDRB >= 0x1C)
{
P5OUT |= BIT0; // LED on
}
else
{
P5OUT &= ~BIT0; // LED off
}

U0CTL |= MST; // Master


I2CTCTL |= I2CSTT + I2CSTP; // Read, ST, SP (clears MST)

a = I2CDRB;

152
b = a << 7;
b = b & 0x8000;

if (b == 0x8000)
{
T = 0x8000 + (float)a;
}

else
{
T = (float)a;
}

debug_printf("Temperatura = %.2f \n", T);


debug_printf("\n");
__delay_cycles(65500);
__delay_cycles(65500);
__delay_cycles(65500);
}*/

153
ANEXO 11
Software lectura sensores corriente/potencia INA219
Software: CrossWork
Microcontrolador: MSP430F1612
#include <__cross_studio_io.h>
#include <msp430.h>

int a,b,c = 0;
float V_COMM, I_COMM = 0;

void INI_I2C (void)


{
P3SEL |= 0x0A;
U0CTL |= I2C + SYNC;
U0CTL &= ~I2CEN;
I2CTCTL |= I2CSSEL_2;
I2CSCLH = 0x03;
I2CSCLL = 0x03;
U0CTL |= I2CEN;
TACTL = TASSEL_2 + MC_2;
}

void WRITE_I2C_1 (int slave_add, int data_1)


{
I2CNDAT = 0x01;
I2CSA = slave_add;
I2CTCTL |= I2CTRX;
U0CTL |= MST;
I2CTCTL |= I2CSTT + I2CSTP;
while ((I2CIFG & TXRDYIFG) == 0);
I2CDRB = data_1;
while (I2CDCTL & I2CBUSY);
}

void WRITE_I2C_3 (int slave_add, int data_1, int data_2, int data_3)
{
I2CNDAT = 0x03;
I2CSA = slave_add;
I2CTCTL |= I2CTRX;
U0CTL |= MST;
I2CTCTL |= I2CSTT + I2CSTP;
while ((I2CIFG & TXRDYIFG) == 0);
I2CDRB = data_1;
while ((I2CIFG & TXRDYIFG) == 0);
I2CDRB = data_2;
while ((I2CIFG & TXRDYIFG) == 0);
I2CDRB = data_3;
while (I2CDCTL & I2CBUSY);
}

154
void READ_I2C (int slave_add, int n_data)
{
I2CNDAT = n_data;
I2CSA = slave_add;
I2CTCTL &= ~I2CTRX;
U0CTL |= MST;
I2CTCTL |= I2CSTT + I2CSTP;
while ((I2CIFG & RXRDYIFG) == 0);
a=I2CDRB;

if (n_data == 0x02)
{
while ((I2CIFG & RXRDYIFG) == 0);
b=I2CDRB;
}

if (n_data == 0x03)
{
while ((I2CIFG & RXRDYIFG) == 0);
b=I2CDRB;
while ((I2CIFG & RXRDYIFG) == 0);
c=I2CDRB;
}

while (I2CDCTL & I2CBUSY);


}

void read_vb (void)


{
WRITE_I2C_1 (0x41, 0x02);
READ_I2C (0x41, 0x02);

b = b >> 3; //Corrimiento 3 veces a la derecha


(Byte menos significativo - Consultar Datasheet INA219).
a = a << 5; //Corrimiento 5 veces a la izquierda
(Byte mas significativo - Consultar Datasheet INA219).

c = a | b;

V_COMM = (float)(c*0.004);

debug_printf("Voltaje Bus = %.2f \n", V_COMM);

a=0;
b=0;
c=0;
}

void read_is (void)


{
WRITE_I2C_1 (0x41, 0x04);
READ_I2C (0x41, 0x02);

155
a = a << 8; //Corrimiento 8 veces a la izquierda
(Byte ms significativo - Consultar Datasheet INA219).

c = a | b;

I_COMM = (float)(c*0.000046);

debug_printf("Current A = %0.2f \n", I_COMM);

a=0;
b=0;
c=0;
}

void main (void)


{
WDTCTL = WDTPW + WDTHOLD; // Stop WDT
// DCOCTL = DCO0 + DCO1 + DCO2; // Max DCO
// BCSCTL1 = RSEL0 + RSEL1 + RSEL2; // XT2on, max RSEL
INI_I2C ();

P4DIR |= BIT1;
P4OUT &= ~BIT1;

__delay_cycles (5000);

WRITE_I2C_3 (0x41,0x00,0x19,0x9F);
WRITE_I2C_3 (0x41,0x05,0x20,0x00); // Inicializar INA219

for (;;)
{
__delay_cycles (5000);
read_vb ();
__delay_cycles (5000);
read_is ();
debug_printf("\n");
__delay_cycles(65500);
__delay_cycles(65500);
__delay_cycles(65500);
}
}

156
ANEXO 12
Software Lectura sensores, construccin trama lista para transmitir a
OBC, informacin de telemetra para Modulo de Comunicaciones
Software: CrossWork
Microcontrolador: MSP430F1612

///// Ya se envia una cadena de informacion al esclavo. El esclavo la almacena y


regresa un caracter.
// Ahora el esclavo debe regresar la cadena completa y el maestro almacenarla.

#include <__cross_studio_io.h>
#include <MSP430.h>

int a,b= 0;
int T1, T2, T3,T4,T5 = 0;
#define SENSOR_T1 0x48 //Sensor Temperatura Bateria A Bat_A
#define SENSOR_T2 0x49 //Sensor Temperatura Bateria B Bat_B
#define SENSOR_T3 0x4A //Sensor Temperatura Interior del Satlite
#define SENSOR_T4 0x4E //Sensor Temperatura TMP100
#define SENSOR_T5 0x4B //Sensor MICRO ESCLAVO

unsigned char decimalResults[4] = {0,0,0,0};


unsigned char telemetria[25];
unsigned int result;
int sizeI2C=0;
char RXVector[25];
int i=0;

char TXData=0xe7;

void main(void)
{

WDTCTL = WDTPW + WDTHOLD; // Stop watchdog


P3SEL |= 0x0A; // Assign I2C pins to module
P1DIR |= BIT0;
P1OUT = 0;
U0CTL |= I2C + SYNC; // Switch USART0 to I2C mode
U0CTL &= ~I2CEN; // Recommended I2C init procedure
I2CTCTL = I2CSSEL1 ; // SMCLK + transmit
I2CSCLH = 0x03; // High period of SCL
I2CSCLL = 0x03; // Low period of SCL
//I2CNDAT = 0x01; // Transmit one byte
//I2COA = 0x4C; // Own Address is 04Bh
I2CIE = TXRDYIE+RXRDYIE+ARDYIE; // Enable TXRDY & RXRDY ISR
U0CTL |= I2CEN; // Enable I2C, 7 bit addr,
_EINT(); // Enable interrupts

//for(;;)
{

157
T1 = lee_temperatura(SENSOR_T1); //Almacena la lectura del sensor en T1,
argumento: Slave Address
T2 = lee_temperatura(SENSOR_T2); //Almacena la lectura del sensor en T2,
argumento: Slave Address
T3 = lee_temperatura(SENSOR_T3); //Almacena la lectura del sensor en T3,
argumento: Slave Address
T4 = lee_temperatura(SENSOR_T4); //Almacena la lectura del sensor en T4,
argumento: Slave Address

ConvBuild(T1,0); //Rutina para Convertir en ASCII la lectura de temperatura,


como argumento est
// la posicin del campo dentro de la trama de telemetra
ConvBuild(T2,4);
ConvBuild(T3,8);
ConvBuild(T4,12);
telemetria[24]=0x0d;

//debug_printf("Trama Telemetria = %s \n\n", telemetria);


U0CTL &= ~I2CEN;
I2CTCTL |= I2CSSEL1; // SMCLK
I2COA = 0x4C; // Own Address is 04Ch
I2CIE = TXRDYIE + RXRDYIE; // Enable TXRDYIFG y RXRDYIFG interrupt
U0CTL |= I2CEN; // Enable I2C
_BIS_SR(LPM0_bits + GIE); // Enter LPM0 w/ interrupt
//enviaI2Cmaster(SENSOR_T5, 25);
//recibeI2Cmaster(SENSOR_T5,25);
//debug_printf("Trama MicroSlave = %s \n\n", RXVector);
}
}

void I2C_ISR(void) __interrupt[USART0TX_VECTOR]


{
switch(I2CIV)
{
case 0: break; // No interrupt
case 2: // Arbitration lost
//debug_printf("Arbritation Lost \n");
break;
case 4: break; // No Acknowledge
case 6:
sizeI2C=25;
break; // Own Address
case 8: break; // Register Access Ready
case 10: // Receive Ready
{ //debug_printf("Receive ready \n");
switch(I2CDRB)
{
case 0x7F:
sizeI2C=25;
P1OUT ^= BIT0;
//_BIC_SR_IRQ(CPUOFF); // Clear LPM0
break; //Master2 solicita trama Telemetra
default:

158
{
RXVector[i] = I2CDRB; // RX data
if(i == (sizeI2C-1))
{
i=0;
}
else
{
i++;
}
__delay_cycles(300); //Retardo antes de leer
}
break;
}
break;
}
case 12: // Transmit Ready
{ //debug_printf("Transmit REady \n");
for(i=0;i<sizeI2C;i++)
{
while ((I2CIFG & TXRDYIFG) == 0); // Wait for transmitter to be
ready
I2CDRB = telemetria[i]; // Load I2CDRB
}
i=0;
break;
}
case 14: break; // General Call
case 16: break; // Start Condition
}
}

int lee_temperatura(int sensor)


{
int T;

recibeI2Cmaster(sensor, 0x01);
//_BIS_SR(CPUOFF); // Enter LPM0

//a = I2CDRB; //Lee Registro I2C Data for Byte access


a = RXVector[0];
b = a & 0x80; //Se enmascara el bit mas significativo
if (b == 0x80) //Si MSB es 1 la medida es negativa
{
a |= 0xFF00; //Se enmascara con FF para completar 16 bits
T = a;
}
else
{
T = a;
}
//debug_printf("Temperatura %.2X = %.3i \n", sensor, T);

159
//debug_printf("\n");
return T;
}
void BINtoBCD(int binario) //Codigo Conversor Binario a BCD
//tomado de http://forum.43oh.com/topic/852-converting-binary-to-decimal-
bcd/
{
if (binario >= 0) //Si es positivo se hace conversion directa
{
CentDecUni(binario);
decimalResults[0] = 0x2B;
}
else //si es negativo se hace complemento a dos
{
binario = ~binario; //Complemento a uno
binario++; // mas uno
CentDecUni(binario);
decimalResults[0] = 0x2D;
}
}

unsigned int decimalAdd(unsigned int n) //Codigo Conversor Binario a BCD


//tomado de http://forum.43oh.com/topic/852-converting-binary-to-decimal-
bcd/
{
unsigned int result = 0;
result = __bcd_add_short(result, (n & 0x0007));
if(n & 0x0008)
result = __bcd_add_short(result, 0x0008);
if(n & 0x0010)
result = __bcd_add_short(result, 0x0016);
if(n & 0x0020)
result = __bcd_add_short(result, 0x0032);
if(n & 0x0040)
result = __bcd_add_short(result, 0x0064);
if(n & 0x0080)
result = __bcd_add_short(result, 0x0128);
if(n & 0x0100)
result = __bcd_add_short(result, 0x0256);
if(n & 0x0200)
result = __bcd_add_short(result, 0x0512);
return result;
}
void CentDecUni(int binario) //Codigo Conversor Binario a BCD
//tomado de http://forum.43oh.com/topic/852-converting-binary-to-decimal-
bcd/
{
result = decimalAdd(binario);
decimalResults[3] = result & 0x000F;
decimalResults[2] = (result & 0x00F0)>>4;
decimalResults[1] = (result & 0x0F00)>>8;
}
void BCDtoASCII(void) //Convierte de BCD a ASCII

160
{
char i;
for(i=1;i<4;i++)
{
decimalResults[i] |= 0x30; //Hace OR entre el numero en decimal y 0x30
// 0 = 0x30 ; 1=0x31 ; 2=0x32 ; ... ; 8=0x38 ; 9=0x39
}
}
void BuildTelemetria(char i) //Construye la trama de telemetria como argumento
llega
//la posicion del campo dentro de la trama
{
char j;
for (j=0;j<4;j++)
{
telemetria[i+j] = decimalResults[j]; //En la posicion designada de cada campo
//se almacena el valor del sensor en ASCII
}
}
void ConvBuild(int Temp, char pos)
{
BINtoBCD(Temp); //Codigo Conversor Binario a BCD
//tomado de http://forum.43oh.com/topic/852-converting-binary-to-decimal-
bcd/
BCDtoASCII();
BuildTelemetria(pos);
}
void heater(int T)
{
if (T<= 28)
{
P1OUT |= BIT0;
}
else
{
P1OUT &= ~BIT0;
}
}
void enviaI2Cmaster(int address, int size)
{
I2CNDAT = size;
sizeI2C=size;
I2CSA = address; // Slave address
U0CTL |= MST; // Master mode
I2CTCTL |= I2CSTT + I2CSTP+I2CTRX; // Initiate transfer
__delay_cycles(300); //Retardo antes de leer
}
void recibeI2Cmaster(int address, int size)
{
I2CNDAT = size; // Transmit one byte
sizeI2C=size;
I2CSA = address; // Slave address
U0CTL |= MST; // Master

161
I2CTCTL &= ~I2CTRX; // Clear transmit bit
I2CTCTL |= I2CSTT + I2CSTP ; // Read, ST, SP (clears MST),Receive
__delay_cycles(300); //Retardo antes de leer
}

162

Potrebbero piacerti anche