Sei sulla pagina 1di 12

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO.

6, JUNE 2009 781

Circuit-Level Design Approaches for Radiation-Hard


Digital Electronics
Rajesh Garg, Student Member, IEEE, Nikhil Jayakumar, Sunil P. Khatri, and Gwan S. Choi, Member, IEEE

AbstractIn this paper, we present a novel circuit design ap- if the glitch occurs at the time the circuit outputs are being sam-
proach for radiation hardened digital electronics. Our approach pled, it can lead to an incorrect value being latched. Such bit
is based on the use of shadow gates, whose task it is to protect the reversals are referred to as SEUs [14], or soft errors in the case
primary gate in case it is struck by a heavy cosmic ion. We locally
duplicate the gate to be protected, and connect a pair of diode-con- of memory.
nected transistors (or diodes) between the outputs of the original With the relentless shrinking of the minimum feature size of
and shadow gates. These transistors turn on when the voltages of VLSI integrated circuits (ICs), there is a corresponding reduc-
the two gates deviate during a radiation strike. Our experiments tion in the dimensions of diffusion nodes. This results in a re-
show that at the level of a single gate, our circuit structure has a duced diffusion capacitance, and hence, if charge is dumped on
delay overhead about 1.76% on average, and an area overhead of
277%. At the circuit level, however, we do not need to protect all the diffusion node as a consequence of a radiation strike, a large
gates. We present a methodology to selectively protect specific gates voltage spike may be generated. With operating voltages getting
of the circuit in a manner that guarantees radiation tolerance for smaller, this problem is further aggravated. As a result, modern
the entire circuit. With this methodology, we demonstrate that at VLSI ICs are significantly more prone to SEU problems [9].
the circuit level, the average delay overhead is about 3% and the Even though it is true that the amount of radiation received on
average placed-and-routed area overhead is 28%, compared to an
unprotected circuit (for delay mapped designs). We also propose the surface of the earth is lower than that in space, the shrinking
an improved circuit protection algorithm to reduce the area over- of process feature sizes makes modern terrestrial VLSI ICs more
head associated with our approach. With this approach for circuit susceptible to radiation problems [9]. Hence, there has been a
protection, the area and delay overheads are further lowered. significant increase in interest in radiation-tolerant VLSI ICs in
Index TermsDesign, radiation-hard, reliability, single event the recent past.
upsets (SEUs), soft errors. There has been a great deal of work on radiation hardened
circuit design approaches. These approaches can be classified
as device level, circuit level, and system level [15]. The device
I. INTRODUCTION
level approaches involve a fundamental change or enhancement
of the fabrication process to improve the radiation immunity of a

I N recent times, there has been an increased interest in the


radiation immunity of electronic circuits [1][9]. This has
been an area of significant interest and research for space or mil-
design [15]. Circuit level hardening approaches use special cir-
cuit design techniques that reduce the vulnerability of a circuit to
radiation strikes [1], [16], [17]. The device and circuit level ap-
itary electronics [7], [8], [10], [11] for many years, due to the proaches are typically fault avoidance approaches, while system
significantly larger rate of radiation bombardment in such ap- level approaches typically involve the use of fault detection and
plications. For space applications, neutrons, protons, and heavy tolerance mechanisms. Triple modular redundancy (TMR) is a
cosmic ions which are trapped in geomagnetic belts [10] pro- classical example of a system level design approach. In [9], the
duce intense showers of such radiation. When such ions strike authors provide a built-in current sensor (BICS) to detect SEU
diffusion regions in VLSI designs, they can deposit charge, re- events in an SRAM. In this paper, we provide a circuit-level
sulting in a voltage spike on the affected circuit node. If the mag- method to design radiation hard combinational logic. It can be
nitude of this spike is sufficiently large, an erroneous value may used for memory elements as well. Our approach uses the notion
be computed by the circuit. This is particularly problematic for of a clamping circuit which protects the output of a gate from an
memories, which can flip their stored state as a result of such SEU event. We also present a methodology to selectively pro-
a radiation strike. Although single event upset (SEU) induced tect a standard-cell based design, in a manner which modifies a
errors in sequential elements continue to be problematic, it is minimum number of gates.
expected that the soft errors in combinational logic will domi- Simulation Model: The charge deposition rate is also referred
nate in future technologies [12], [13]. In a combinational circuit, to as the linear energy transfer (LET). Cosmic ions have varying
LETs, and they result in the deposition of a charge in a semi-
conductor diffusion region of depth by the following formula
Manuscript received September 02, 2006; revised October 17, 2007 and [11]:
March 25, 2008. First published April 21, 2009; current version published May
20, 2009.
R. Garg, S. P. Khatri, and G. S. Choi are with the Department of Electrical and
Computer Engineering, Texas A&M University, College Station TX 77843 USA
(e-mail: rajeshgarg@tamu.edu; sunilkhatri@tamu.edu; gchoi@ece.tamu.edu). Here is the LET of the ion (expressed in MeV-cm mg),
N. Jayakumar is with Texas Instruments, Inc., Dallas, TX 75243 USA (e-mail:
nikhil@ece.tamu.edu). is the depth of the collection volume (expressed in microns),
Digital Object Identifier 10.1109/TVLSI.2008.2006795 and is charge in pC. The amount of charge that is required
1063-8210/$25.00 2009 IEEE
782 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 6, JUNE 2009

to cause a bit to be sampled incorrectly is referred to as the crit- achieved is not 100%. The authors reported that SER can be im-
ical charge [18]. With diminishing process feature sizes and proved by 50% with an area penalty of 30%. Thus their approach
supply voltages, SEU problems are a concern even for terres- does not provide 100% SEU protection whereas our approach
trial electronics today, particularly for mission critical applica- offers 100% SEU tolerance for up to 24 fC, 45 ps
tions. Atmospheric neutrons as well as alpha particles which are and 145 ps, with a similar area penalty. Note that in the
created by unstable isotopes in the IC packaging materials can sequel, when we claim 100% SEU tolerance, we imply that our
also cause SEU problems. For reference, the LET of a 5 MeV approach yields 100% SEU hardening for up to 24 fC,
alpha particle is 1 MeV-cm mg [5]. Also, the probability dis- 45 ps and 145 ps.
tribution of energetic particles drops off rapidly with increasing The approaches which selectively hardened gates based
LETs [2]. The largest population of particles have an LET of 20 on logical masking, electrical masking and latching window
MeV-cm mg or less, and particles with an LET greater than [16], [17] to improve the soft error susceptibility of a logic
30 MeV-cm mg are exceedingly rare [2], [3]. circuit cannot guarantee 100% SEU protection. In contrast
The current pulse that results from a particle strike is tradi- to these approaches, we partition the gates of the circuit into
tionally described as a double exponential function [19], [20]. protected gates (which are near the primary outputs) and
The expression for this pulse is unprotected gates, such that: 1) If there is a SEU event in
the unprotected gates, the latched values are unaffected due
to electrical masking and 2) if there is a SEU event in the
(1) protected gates, our circuit modification guarantees that the
latched values are unaffected. Hence we are guarantee 100%
Here is the amount of charge deposited as a result of the SEU protection by electrical masking. The overhead of our
ion strike, while is the collection time constant for the junc- scheme could be reduced by exploiting logical masking. But
tion and is the ion track establishment constant. For the sim- this is not possible for our circuits (without reducing 100%
ulations reported in this paper, we used values of 45 ps, SEU protection) since we perform redundancy removal on
145 ps, and 24 fC. our design to start with. Similarly, if we attempt to exploit
The remainder of this paper is organized as follows. Section II latch window masking, the 100% SEU protection coverage
discusses some previous work in this area. In Section III, we de- of our scheme would drop.
scribe our radiation hardened design approach for digital elec- Other radiation hard design approaches, such as triple modular
tronics. In Section IV, we present experimental results, while redundancy (TMR), tackle the problem of correcting errors at
conclusions and future work are discussed in Section V. the system level. In contrast to these approaches, we provide
a circuit-level method to design radiation hard combinational
logic. It can be used for memory elements as well. Our
II. PREVIOUS WORK approach uses the notion of a clamping circuit which protects
There has been a great deal of work on radiation hardened the output of a gate from an SEU event. We also present
circuit design approaches. Several papers report on experimental a methodology to selectively protect a standard-cell based
studies in this area [4], [8], [11], [18], [21] while others have design, in a manner which requires a minimum number of
focused on memory design [6], [7], [9], [14], [18], [22]. Since gates to be modified. Our experimental results demonstrate
memories are particularly susceptible to SEU events, these that the area and delay overheads of our approach (compared
efforts were crucial to space and military applications. Yet to an unprotected circuit) are 23.75% and 4.4%, respectively,
other approaches perform modeling and simulation of radiation for delay mapped circuits.
events [2], [5], [20]. In [1], Zhou and Mohanram address the A shorter version of the basic circuit level radiation hardening
sizing of transistors in a digital design in order to improve approach presented in this paper can be found in [26]. This man-
the radiation hardness of the design. In [9], Gill et al. provide uscript provides additional details and an improved radiation
a built-in current sensor (BICS) to detect SEU events in an hardening approach as well.
SRAM. A radiation hardened DRAM design was proposed
in [22], while a FLASH memory-based field-programmable III. OUR APPROACH
gate array (FPGA) for space applications was introduced in Radiation strikes cause charge to be dumped on a diffusion
[8]. node, which results in voltage glitches on these nodes. We are
Many techniques have been proposed earlier to selectively concerned with those glitches that cause nodes to change their
hardened gates in a logic circuit [16], [23][25]. These tech- logical value (i.e., those that cross the switch-point of the gate
niques try to harden those gates in a circuit which have higher in question). Our solution to the SEU problem involves a novel
soft error susceptibility, i.e., the gates that contribute the most to circuit design technique which ensures that such a glitch is
the soft error failure of the logic circuit. Note that our gate level clamped before it reaches the switch-point.
hardening approach presented in this paper can also be used with This section is divided into three subsections. In
the selective hardening approaches reported in [16], [23][25]. Section III-A, we discuss two circuit structures (shown
Heijmen et al. proposed in [17] to selectively duplicate the sen- in Figs. 1 and 2) that we investigated, in order to create a
sitive logic gates (i.e., connecting two gates in parallel) to reduce radiation-hardened standard cell. Section III-B discusses the
soft error rate (SER). Since this scheme involves a determina- notion of critical depth for any protected library cell. A larger
tion of sensitive gates (not all gates are protected), the tolerance critical depth for any cell indicates that we require more logic
GARG et al.: CIRCUIT-LEVEL DESIGN APPROACHES FOR RADIATION-HARD DIGITAL ELECTRONICS 783

through this gate), but we restrict ourselves to two values


in this paper. The bulk terminal of the protecting gate (GP) and
the diode connected devices of Fig. 2 are connected to the pro-
tecting gate power supply, i.e., 1.4 V and .
This ensures that the bulk terminals of these devices are not for-
ward biased. Note that we used the same device sizes for both
the hardened and regular version of cells. In other words, the
sizing of G and GP gates in Figs. 1 and 2 are the same as that of
the regular gate.
The clamping diodes used can either be regular PN junction
Fig. 1. Diode-based SEU clamping circuit. type diodes or diode connected devices. We investigated both
options.
1) PN Junction Diode: Consider the circuit in Fig. 1. Let
us first consider an SEU event that causes a rising pulse on the
output node of a protected gate which is at logic 0. This means
that the steady state output of the protected gate is at 0 V and
that of the protecting gate is at 0.4 V. When the voltage on
the protected node starts rising and when the voltage across the
diode D2 (in Fig. 1) reaches the diode turn-on voltage, it begins
to clamp the voltage across it. In this way the glitch due to the
SEU event is suppressed.
Now let us consider the case of an SEU event striking at
the output (outP) of protecting gate which is at logic 0. In
this case the protected node is still protected (remains at logic
Fig. 2. Device-based SEU clamping circuit.
0). This is because the protecting node is initially at a much
lower voltage ( 0.4 V) and as the voltage at the protecting
stages for this cell to erase the effects of a radiation-induced node rises, the diode D2 remains turned-off. Diode D1 turns
glitch. Based on the notion of critical depth, Section III-C on only when the voltage at the protecting node rises to a
describes our algorithms to selectively protect cells in a value greater than the diode turn-on voltage (i.e., voltage glitch
standard-cell based circuit, so as to minimize the delay and diode turn-on voltage). However, the cosmic particle
area overhead. which can cause such a glitch would have to have a very high
energy.
A. Working of the Clamping Devices The working of the clamping structure for falling pulses when
A clamping diode can be used to suppress a glitch. However, the output node is at logic 1 is similar to that discussed above.
this clamping diode should not prevent (or delay) the switching 2) Diode Connected Device: Consider the circuit in Fig. 2.
of the logic during its normal functional operation when no ra- Let us once again, consider a radiation event that causes a rising
diation strike has occurred. We hence need another similarly pulse on a node at logic 0. This means that the steady state output
sized driver (logic gate) in parallel with the gate we are trying of the protected gate is at 0 V and that of the protecting gate
to protect (shown in Figs. 1 and 2). When the outputs of these is at 0.4 V. When the voltage on the protected node starts
drivers deviate significantly (which would occur when one of rising, the clamping nMOS device starts to turn on and turn
the gates undergoes a radiation strike), the clamping circuit turns on more strongly if the voltage on the protecting node con-
on, thereby protecting the gate from an SEU event. Note that the tinues to rise, thus clamping the protected node. If the radia-
supply voltages for the protecting gate are higher ( 1.4 V tion event strikes at the protecting nodes, the protected node re-
and 0.4 V). Hence we use thicker oxides for the pro- mains at logic 0. This is because the protecting node is initially
tecting gate (GP) of Figs. 1 and 2 and the diode connected de- at a much lower voltage ( 0.4 V) and as the voltage at the pro-
vices of Fig. 2, in order to avoid reliability problems. Multiple tecting node rises, the clamping nMOS device turns off more. It
oxide thicknesses for a 65-nm process has been used in past as is only when the voltage of the protecting node rises above 0.4
reported in [27][30]. The devices used in the protecting gate V that the clamping pMOS device starts turning on. This could
have a higher ( 0.42 V and 0.42 V) compared cause the voltage of the protected node to rise. As discussed in
to the regular devices in our design (which have 0.22 V Section III-A1 a radiation event to cause such a glitch would
and 0.22 V). This is to minimize the leakage through have to be very large.
the protecting gate. The devices used for clamping also have a In a similar manner, the clamping pMOS device helps protect
higher to make sure that they are off during regular opera- a gate from a falling pulse due to a radiation event.
tion (in the absence of SEU events). This is important since their Both the device-based and diode-based clamping structures
inputs are the same as those of the protected gate. In fact the were implemented, and had very similar protection characteris-
clamping devices are on the verge of conduction (since tics, as shown in the sequel. The layout area penalty of the de-
0.42 V and 0.42 V). Ideally we would want the pro- vice-based clamping structure was determined to be lower than
tecting gate to have an even higher (to minimize the leakage that for a diode-based clamping structure. As a consequence, the
784 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 6, JUNE 2009

TABLE I
GLITCH MAGNITUDE OF PN JUNCTION CLAMPING DIODE FOR RISING PULSES (OUTPUT AT LOGIC 0)

TABLE II
GLITCH MAGNITUDE OF PN JUNCTION CLAMPING DIODE FOR FALLING PULSES (OUTPUT AT LOGIC 1)

TABLE III
GLITCH MAGNITUDE OF DIODE-CONNECTED CLAMPING DEVICE FOR RISING PULSES (OUTPUT AT LOGIC 0)

TABLE IV
GLITCH MAGNITUDE OF DIODE-CONNECTED CLAMPING DEVICE FOR FALLING PULSES (OUTPUT AT LOGIC 1)

experiments reported in the sequel are all based on the device We have verified that a SEU strike at the shadow gate will not
based clamping structure. The performance of device-based and cause extra soft errors (for the given value of , , and ). In
diode-based clamping structures for an inverter are presented particular, if there is a radiation particle strike at the output of
in Tables IIV. Rest all experiments are done for device-based protecting gate then the resulting glitch has to be much larger
clamping structure only as reported in experimental section. than the value used in our simulation, to turn on the diode
GARG et al.: CIRCUIT-LEVEL DESIGN APPROACHES FOR RADIATION-HARD DIGITAL ELECTRONICS 785

connected devices and affect protected node. We have explicitly requirement to protect gates up to a reverse topological depth
verified the correct operation of our circuit by striking each node , where is the critical depth of the gate at the
of Fig. 2 with both positive and negative glitches, for every gate primary output . Whenever a gate with critical depth
in our design. is encountered, the algorithm updates the depth to be protected
as . Here, is the topological depth of
B. Critical Depth for a Gate gate from the primary output .
For each of the cells in our library, we designed counterpart
Algorithm 1 Variable Depth Radiation Hardening for a Circuit
cells which were radiation hardened, using diode connected de-
vices to achieve radiation hardening. For each such radiation
hardened cell, we computed its critical depth.
Consider a sequence of copies of the same library cell for each do
, with the output of the th cell being one of the inputs
of the th cell. Let all the other inputs of the th
cell be assigned to their non-controlling values. Assume that for each cell such that do
the radiation strike occurs on the output of the cell at the
first level, and corresponds to a charge being dumped
on the output node at the first level, with a collection time
constant , and a ion track establishment constant of .
if then
Based on (1), we can compute the effective current source that
is connected to the corresponding output. Then the critical Replace by
depth of library cell , denoted as , is defined as the
end if
number of levels of logic that are required for the magnitude
of the glitch due to the radiation event to become smaller end for
than , where . Note that is a function
end for
of , , , the load driven by , and the input ordering
of . The values of , were estimated using SPICE
simulations. We obtained the worst case critical depth for D. Alternative Circuit Level Radiation Hardening
any library cell by loading it with a single fan-out load
in our simulations. Also, for input gates, we found the If a large number of gates with high critical depth are present
critical depth by connecting the output of each gate to th near the primary outputs of a circuit then we might have to pro-
tect a significant portion of the circuit using our variable depth
input of the subsequent gates. The critical depth computed
was the worst depth among all the possible configurations. protection approach. This will result in large area and delay
In this manner, we obtain the worst case critical depths for overheads. Column 5 of Table V reports the critical depth of
all cells in our library for given values of , , and . all the gates in our library. We can observe from this table that
the critical depth of inv2AA gate is much higher than the rest of
Note that the definition of the critical depth is applicable
to static CMOS gates only. the gates in our library. Therefore, if a large number of inv2AA
gates are present near the primary outputs of a circuit then we
will have a large area and delay overhead. Thus, to reduce the
C. Circuit Level Radiation Hardening
area and delay overhead associated with variable depth protec-
A simplistic approach would be to protect each gate in the tion scheme, we present an algorithm which tries to reduce the
design using our approach. However, this would result in an ex- number of gates with large critical depth (such as inv2AA) near
orbitant delay and area overhead for the circuit. Instead, we pro- the primary outputs of a circuit.
pose a method where the delay and area overhead is minimized, Our approach to further reduce the area or delay overhead
while guaranteeing radiation hardness for the circuit. is described in Algorithm 2. Let be a mapped circuit obtained
Let . Given any circuit, we can protect using library with either area or delay as a cost function. Also,
all gates that are topologically or less levels away from any let be the circuit obtained after using variable depth protec-
primary outputs of the circuit. In this case, if there is a radiation tion algorithm on . Now, we partition into two parts, the
strike on any protected cell, it would be eliminated because the first part is the unprotected portion of represented by and
cell is protected. If there is a radiation strike on an unprotected the second part is the protected portion of represented by .
cell, it would be eliminated since it needs to traverse through We also modify our library to obtain another library in
or more levels of protected gates before it reaches the output. In which we assign a large area and delay cost to gates with large
either case, the circuit is tolerant to the radiation event. critical depths (for example inv2AA). Now we re-synthesize
A variant of the above approach, which is slightly more effi- with the new library to obtain which will contain very
cient, is based on variable depth protection, and is described few gates of high critical depth because of the high cost associ-
in Algorithm 1. It is based on a reverse topological traversal ated with them. Then, we combine and and apply variable
of a circuit from its primary outputs. Let be depth protection algorithm on the combined circuit to produce
the array of critical depths of all the library cells used in the a SEU tolerant circuit . We will refer to the resulting circuit
implementation of the circuit . The algorithm starts with a as the resynthesized hardened circuit.
786 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 6, JUNE 2009

TABLE V
DELAY, AREA, AND CRITICAL DEPTH OF CELLS

Algorithm 2 Alternative Circuit Level Radiation Hardening

Decompose into

Fig. 3. Layout of SEU-tolerant NAND2 gate (uses device-based clamping).

magnitude for varying values of and . The first and third


tables report values of glitch magnitudes when the output is at
E. Final Circuit Selection logic 0, while the second and fourth correspond to an output at
We get two different SEU tolerant versions and of a logic 1.
regular circuit using the approaches described in Section III-C Based on these tables, we find that the regular PN junction
and III-D. We obtain the delay and area associated with both diode tended to have better protection performance than the
and . Now our final radiation tolerant circuit can be obtained diode connected device for the same active area. However, im-
by choosing or such that the area or the delay is minimized. plementing the PN junction diodes require a larger area on ac-
We will refer to this approach as improved circuit protection count of the spacing requirements of the wells which are at dif-
approach. ferent potentials. The diode connected devices on the other hand
share their well with the devices in the protecting gate, and can
IV. EXPERIMENTAL RESULTS be implemented efficiently. Also, the leakage current of the reg-
The SEU tolerance of both our circuit structures was sim- ular PN junction diode will be higher under delay variations and
ulated in SPICE [31]. We used a 65-nm BPTM [32] model the voltage drop. Therefore, we used diode connected devices
card, with 1 V and 0.22 V. The of Fig. 2 for hardening gates. Fig. 3 describes the device-based
radiation strike was modeled as a current source described as clamping approach, applied to a nand gate. We created the lay-
. outs of the protected versions of all gates in our standard-cell
Based on [9], we used a value of 45 ps. We varied the library, which consisted of the cells INV-2X, INV-4X, AND2,
values of and , to test our design against a variety of radia- AND3, AND4, OR2, OR3, OR4, NAND2, NAND3, NAND4, NOR2,
tion conditions. Fig. 4 describes the current injection waveform NOR3 and NOR4.
for various values of and . Fig. 5 describes the voltage waveform at the output of a gate,
The performance of both our designs is summarized in when a current corresponding to 24 fC and 145 ps is
Tables IIV. These tables report the protection results for the injected into this node. The voltage waveform of the unprotected
INV-2X gate, which is the most radiation sensitive gate in our design experiences a large glitch. If it were part of a memory
library. The first two tables report the simulation results for element, the element could have erroneously flipped. Our device
diode based clamping, and the latter two describe the results based clamping circuit successfully clamps the voltage to a safe
for device based clamping. For both styles, we report the glitch level.
GARG et al.: CIRCUIT-LEVEL DESIGN APPROACHES FOR RADIATION-HARD DIGITAL ELECTRONICS 787

Fig. 4. Current injection waveform as a function of Q and  . Fig. 6. Output waveform during a radiation event on protecting node.

worst-case delay of the protected cell is on average just slightly


larger than that of a regular cell. Also note that for some cells
(inv4AA, and3AA, etc.) the delay overhead is negative. We con-
jecture that this is because of the fact that the leakage current of
the hardened version of those cell is greater than the regular cell,
therefore resulting in faster output transitions. Columns 5 and 6
report the layout area of unprotected and protected versions of
cells. The area overhead of hardened version of each cell com-
pared to the regular version is reported in Column 7. We note
that the average area overhead is about 277% which is quite
large. Therefore, we use variable depth protection to harden a
circuit where only few gates are replaced with the radiation tol-
erant version. This helps in achieving lower area overhead.
Table VI reports the delay overhead of our SEU tolerant ap-
proaches ( and ) for both area and delay mapping. The
Fig. 5. Output waveform during a radiation event on output. area overhead of the SEU tolerant approaches is reported in
Table VII. Tables IX and X report the delay and the area over-
head respectively of the best SEU tolerant circuit (between
Fig. 6 shows the voltage waveform at the output of a gate, and ) using delay or area based mapping. The circuits were op-
when a current corresponding to 24 fC and 145 ps is timized using technology independent optimization in SIS (in-
injected into the protecting node. The voltage waveform of the cluding redundancy removal), and were then mapped for area
output node is well within the noise margins of the gate. and delay using our 65-nm standard cell library.
Based on the fact that we utilize the device-based protection The delay penalty associated with applying our radiation
scheme due to its better layout characteristics, we find the largest hardening approaches is presented in Table VI. Delays were
value of , for the most aggressive value of 145 ps that our computed using the sense [33] package in SIS [34], which
INV-2X cell can tolerate (from Tables III and IV). For computes the largest sensitizable delay for a mapped circuit. In
(i.e., we can tolerate a glitch magnitude of ), we find Table VI, Columns 2 and 3 report the delay (in picoseconds) of
that 24 fC. a regular design and a radiation-hardened area-mapped design
Based on the values of 145 ps and 45 ps, we (before resynthesis). Column 4 reports the percentage delay
computed the critical depth for each gate in our stan- overhead for the radiation-hardened design. Column 5 reports
dard cell library. We used a value of 24 fC which results the delay of resynthesized radiation-hardened area-mapped
in a glitch magnitude of less than . The results of design (which are obtained as described in Section III-D) and
this exercise are presented in Table V in Column 8. In addition Column 6 reports the percentage delay overhead for this design.
to critical depth, Table V also reports the worst-case delay (in Similarly, Columns 7 and 8 report the delay (in picoseconds) of
picoseconds) and the layout area (in m ) of each cell in our li- a regular design and a radiation-hardened delay-mapped design
brary. Columns 2 and 3 report the worst case delay of the unpro- (before resynthesis). Column 9 reports the percentage delay
tected and protected versions of the cell. Column 4 reports the overhead for the radiation-hardened design. Column 10 reports
percentage overhead in the worst-case delay of the hardened ver- the delay of resynthesized radiation-hardened delay-mapped
sion of each cell compared to the regular version. Note that the design and Column 11 reports the percentage delay overhead
788 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 6, JUNE 2009

TABLE VI
DELAY OVERHEAD OF OUR RADIATION HARDENED DESIGN APPROACHES

for this design. We note that the circuit-level delay overhead to minimize the overhead, we routed additional supply lines as
of variable depth protection algorithm is as low as 2.92% on regular signals. The area penalty associated with applying our
average for delay mapped designs, and about 1.6% for area protection algorithms is presented in Table VII. In Table VII,
mapped designs before resynthesis. Note that our radiation Columns 2 and 3 report the placed-and-routed area (in m ) of
hardened designs are generated by replacing regular gates a regular design and the radiation-hardened area-mapped design
(which are topologically close to the outputs) by hardened (before resynthesis). Column 4 reports the percentage area over-
gates. This results in a large increase in the load capacitance head for the radiation-hardened design. Column 5 reports the
of the regular gates that drive the hardened gates. As a conse- placed-and-routed area of resynthesized hardened area-mapped
quence, the circuit level delay penalty in Table VI is sometimes design and Column 6 reports the percentage area overhead for
larger than the gate-level delay penalty reported in Table V. this design. Similarly, Columns 7 and 8 report the area (in m )
The circuit-level delay overhead of the resynthesized hardened of a regular design and a radiation-hardened delay-mapped de-
circuit is 2.63% on average for delay mapped designs, and sign (before resynthesis). Column 9 reports the percentage area
about 8.11% for area mapped designs which is higher than overhead for the radiation-hardened design. Column 10 reports
the delay associated with hardened circuit before resynthesis. the placed-and-routed area of resynthesized radiation tolerant
For area mapped circuits, the delay overhead increases (for delay-mapped design and Column 11 reports the percentage
) because for resynthesis of the hardened circuit, we first area overhead for this design. We note that the area overheads on
extract the hardened portion of the circuit obtained from the average are larger for area-mapped designs, which is reasonable
variable depth protection algorithm. Then we resynthesize since the designs were mapped with an area-based cost function
this sub-circuit with a high cost assigned to gates with a large to start with. The average area penalty was about 45% and 28%
critical depth, to minimize their utilization. This increases the for area and delay mapped designs obtained using variable depth
utilization of gates with a large input load capacitance and protection approach before resynthesis. However, the area over-
hence, the load on the unprotected circuit increases resulting head was around 29% and 24% for resynthesized area and delay
in a delay increase. However, for delay mapped designs, the mapped hardened designs. The area overhead of resynthesized
delay overhead reduces due to the more usage of low overhead designs is lower than that of the original designs since we utilize
(and negative overhead) gates. Also note that sometimes, the a small number of gates with high critical depth in the resynthe-
delay overhead of the hardened circuit is negative. This is due sized circuit. The area overhead of either of our approaches is
to the increased usage of the hardened inv4AA gate which significantly lower than the area overheads associated with alter-
has a negative delay overhead over the regular inv4AA gate. nate radiation hardening approaches, which commonly require
We conjecture that this is because of the fact that the leakage logic duplication or triplication. Some designs (such as frg2)
current of the hardened inv4AA cell is greater than the regular have a low logic depth and large number of inputs, and conse-
inv4AA cell, therefore resulting in faster output transitions. quently, their area overheads are higher.
We technology mapped both the regular and the radiation Table VIII reports the total number of gates and the number
hardened circuits using the library of cells mentioned in the be- of hardened gates in a circuit resulted from using our circuit
ginning of this section. The resulting designs were placed and tolerant approaches ( and ) for both area and delay map-
routed using SEDSM [35]. Note that we have accounted for ping. In Table VIII, Columns 2 and 3 report the total number of
routing of the additional power supplies. We have routed addi- gates and the number of hardened gates of a radiation-hardened
tional supply lines ( 1.4 V and 0.4 V) as reg- area-mapped design (before resynthesis). Columns 4 and 5 re-
ular signal lines. This was done because a single radiation par- ports these numbers for for the radiation-hardened design after
ticle strike will result in clamping action at only one gate in an resynthesis. Similarly, Columns 5 and 6 report the total number
entire circuit and therefore, we do not need wider wires for addi- of gates and the number of hardened gates for radiation-hard-
tional supply lines like the wires for regular supply. Therefore, ened delay-mapped design (before resynthesis) and Columns 7
GARG et al.: CIRCUIT-LEVEL DESIGN APPROACHES FOR RADIATION-HARD DIGITAL ELECTRONICS 789

TABLE VII
AREA OVERHEAD OF OUR RADIATION HARDENED DESIGN APPROACHES

TABLE VIII
TOTAL NUMBER OF GATES AND NUMBER OF HARDENED GATE IN DIFFERENT DESIGNS

and 8 report for radiation-hardened delay-mapped design after The placed-and-routed area penalty associated with ap-
resynthesis, respectively. plying our improved circuit protection approach is presented in
The delay penalty associated with applying our improved Table X. In Table X, Column 2 reports the placed-and-routed
circuit protection approach is presented in Table IX. We have area (in m ) of a regular area-mapped design. Column 3
two different radiation hardened versions for each design and reports the area of the radiation-hardened area-mapped circuits
we can choose the best among them in terms of area or delay. with the best delay. Column 4 reports the percentage area
In Table IX, Column 2 reports the delay (in picoseconds) of overhead for the corresponding design. Column 5 reports the
a regular area-mapped design. Column 3 reports the delay of area of the radiation-hardened area-mapped design with the
radiation-hardened area-mapped design with the best delay. best area and Column 6 reports the percentage area overhead
Column 4 reports the percentage delay overhead for this for this design. Similarly, Column 7 reports the area (in m )
design. Column 5 reports the delay of the radiation-hardened of a regular delay-mapped design. Column 8 reports the area
area-mapped design with the best area and Column 6 reports the of the radiation-hardened delay-mapped circuit with the lowest
percentage delay overhead for this design. Similarly, Column delay. Column 9 reports the percentage area overhead for
7 reports the delay (in picoseconds) of a regular delay-mapped the corresponding circuit. Column 10 reports the area of the
design. Column 8 reports the delay of the radiation-hardened radiation-hardened delay-mapped designs with the least area
delay-mapped design with the best delay. Column 9 reports and Column 11 reports the percentage area overhead of corre-
the percentage delay overhead. Column 10 reports the delay sponding design. We note that the circuit-level area overhead
of the radiation-hardened delay-mapped design with the best of improved circuit protection algorithm is 23.75% on average
area and Column 11 reports the percentage delay overhead for for delay mapped designs, and about 29.33% for area mapped
this design. We note that the circuit-level delay overhead of our designs.
improved circuit protection algorithm is as low as 0.29% on The dynamic power is proportional to the switching capaci-
average for delay mapped designs, and about 0.14% for area tance and the square of voltage swing value. Therefore, to esti-
mapped designs. mate the power overhead associated with our improved circuit
790 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 6, JUNE 2009

TABLE IX
DELAY OVERHEAD OF OUR IMPROVED CIRCUIT PROTECTION APPROACH

TABLE X
AREA OVERHEAD OF OUR IMPROVED CIRCUIT PROTECTION APPROACH

protection approach we calculate the effective node capacitance increase. Column 10 reports of the radiation-hard-
of a circuit. The voltage swing at the output of protecting ened delay-mapped design with the best area and Column 11
gate (GP) of Fig. 2 is 1.8 V (i.e., from 0.4 to 1.4 V) which is reports the percentage delay overhead for this design. We note
1.8 of the voltage swing at the output of protected gate (G) that the circuit-level increase (or power overhead) of our
or any unprotected gate in a circuit. Thus, the node capacitance improved circuit protection algorithm is as low as 19.94% on
of the output node of the protecting gate is multiplied by the average for delay mapped designs, and about 29.77% for area
square of 1.8 before adding it to . In other words, is the mapped designs. The leakage power overhead of our approach
total capacitance of the circuit normalized across the voltage is little higher but it can be reduced by increasing the threshold
swing of the protected and protecting gates. This helps in ob- voltages of devices used in protected gate, protecting gate and
taining a better estimate of the power overhead. The effective the devices used for clamping. As a result of this the perfor-
node capacitances obtained for different designs are reported in mance of our gate hardening approach will degrade slightly.
Table XI. We have two different radiation hardened versions for However, the performance can be improved by increasing the
each design and we can choose the best among them in terms devices sizes. Also, the leakage currents are generally higher
of area or delay. In Table XI, Column 2 reports (in fF) of for the process we used in our experiments. Recently, with the
a regular area-mapped design. Column 3 reports of radia- advances in process technology, the leakage currents have re-
tion-hardened area-mapped design with the best delay. Column duced [36]. Therefore, for these newer processes, our approach
4 reports the percentage increase (or power overhead) for will yield low leakage power overheads.
this design. Column 5 reports of the radiation-hardened Note that our approach would work with a generic CMOS
area-mapped design with the best area and Column 6 reports process with a single oxide thickness, but a thicker oxide is re-
the percentage capacitance increase for this design. Similarly, quired. The thicker oxide will result in short channel effects for
Column 7 reports (in fF) of a regular delay-mapped design. the gates which are supplied by and . Our approach
Column 8 reports of the radiation-hardened delay-mapped cannot work without using additional supply lines ( 1.4
design with the best delay. Column 9 reports the percentage V and ) for the protecting gate GP in Fig. 2.
GARG et al.: CIRCUIT-LEVEL DESIGN APPROACHES FOR RADIATION-HARD DIGITAL ELECTRONICS 791

TABLE XI
ESTIMATED POWER OVERHEAD OF OUR IMPROVED CIRCUIT PROTECTION APPROACH

V. CONCLUSION [4] E. Fuller, M. Caffrey, A. Salazar, C. Carmichael, and J. Fabula, Ra-


diation testing update, SEU mitigation, and availability analysis of the
In this paper, we have presented a novel circuit design ap- virtex FPGA for space reconfigurable computing, in Proc. Int. Conf.
Military Aerosp. Program. Logic Devices, Columbia, MD, Sep. 2000.
proach for radiation hardened digital electronics. Our approach [5] A. Johnston, Scaling and technology issues for soft error rate, in Proc.
uses shadow gates to protect the primary gate in case it is struck Annu. Res. Conf. Reliab., Oct. 2000, pp. 18.
by radiation. We locally duplicate the gate to be protected, and [6] M. Caffrey, P. Graham, E. Johnson, and M. Wirthli, Single-event up-
sets in SRAM FPGAs, in Proc. Int. Conf. Military Aerosp. Program.
connect a pair of diode-connected transistors (or diodes) be- Logic Devices, Sep. 2002, pp. 16.
tween the outputs of the original and shadow gates. These tran- [7] C. Carmichael, E. Fuller, M. Caffrey, P. Blain, and H. Bogrow, SEU
sistors turn on when the voltages of the two gates deviate during mitigation techniques for virtex FPGAs in space applications, in Proc.
Int. Conf. Military Aerosp. Program. Logic Devices, Sep. 1999, pp. 18.
a radiation strike. The delay overhead of our approach per li- [8] T. Speers, J. Wang, B. Cronquist, J. McCollum, H. Tseng, R. Katz, and
brary gate is about 1.76%. The area overhead of our approach I. Kleyner, 0.25 m FLASH memory based FPGA for space appli-
is 277% per library gate. cation, in Proc. Int. Conf. Military Aerosp. Program. Logic Devices,
Washington, DC, Sep. 1999.
In addition, we present variable depth protection approach to [9] B. Gill, M. Nicolaidis, F. Wolff, C. Papachristou, and S. Garverick,
perform circuit-level radiation hardening with very low delay An efficient BICS design for SEUs detection and correction in semi-
and area overheads. In this approach, we minimize the number conductor memories, in Proc. Design, Autom. Test Europe, Mar. 2005,
pp. 592597.
of gates that need to be protected in the manner described above. [10] D. Binder, C. Smith, and A. Holman, Satellite anomalities from
The resulting circuit is made radiation hard, with a very low area galactic cosmic rays, IEEE Trans. Nucl. Sci., vol. NS-22, no. 12, pp.
and delay penalty (28% and 3% on average, for delay mapped 26752680, Dec. 1975.
[11] W. Massengill, M. Alles, and S. Kerns, SEU error rates in advanced
designs) compared to an unprotected circuit. In practice, how- digital CMOS, in Proc. 2nd Eur. Conf. Radiation Its Effects Compo-
ever, a very small fraction of gates need to be protected. nents Syst., Sep. 1993, pp. 546553.
We also present another approach which reduces the area and [12] P. Shivakumar, M. Kistler, S. W. Keckler, D. Burger, and L. Alvisi,
Modeling the effect of technology trends on the soft error rate of com-
delay penalty based on the desired cost function. With our im- binational logic, in Proc. Int. Conf. Dependable Syst. Networks (DSN),
proved circuit protection algorithm, radiation tolerant circuits 2002, pp. 389398.
are obtained with a very low area penalty as low as 23.75% [13] P. Dodd and L. Massengill, Basic mechanisms and modeling of
single-event upset in digital microelectronics, IEEE Trans. Nucl. Sci.,
and a delay penalty as low as 0.14% on average. We antici- vol. 50, no. 3, pp. 583602, Mar. 2003.
pate that our approach could be used in memory elements, or [14] T. May and M. Woods, Alpha-particle-induced soft errors in dynamic
even the gates that drive memory elements. In this way, our ap- memories, IEEE Trans. Electron Devices, vol. ED-26, no. 1, pp. 29,
Jan. 1979.
proach can protect both combinational and sequential circuits [15] J. S. Cable, E. F. Lyons, M. A. Stuber, and M. L. Burgener, Radiation-
from SEU events. hardened silicon-on-insulator CMOS device, and method of making the
In the future, we plan to incorporate radiation hardening into same, U.S. Patent 6 531 739, Nov. 3, 2003.
[16] K. Mohanram and N. A. Touba, Cost-effective approach for reducing
the technology mapping step. soft error failure rate in logic circuits, in Proc. ITC, 2003, pp. 893901.
[17] T. Heijmen and A. Nieuwland, Soft-error rate testing of deep-submi-
cron integrated circuits, in Proc. 11th IEEE Eur. Test Symp. (ETS06),
REFERENCES 2006, pp. 247252.
[18] J. Pickle and J. Blandford, CMOS RAM cosmic-ray-induced error rate
[1] Q. Zhou and K. Mohanram, Transistor sizing for radiation hardening, analysis, IEEE Trans. Nucl. Sci., vol. NS-29, no. 6, pp. 39623967,
in Proc. Int. Reliab. Phys. Symp., Apr. 2004, pp. 310315. Dec. 1981.
[2] K. Hass and J. Gambles, Single event transients in deep submicron [19] G. Messenger, Collection of charge on junction nodes from ion
CMOS, in Proc. IEEE 42nd Midw. Symp. Circuits Syst., Aug. 1999, tracks, IEEE Trans. Nucl. Sci., vol. 29, no. 6, pp. 20242031, Nov.
pp. 122125. 1982.
[3] W. Beauvais, P. McNulty, W. A. Kader, and R. Reed, SEU parame- [20] A. Dharchoudhury, S. Kang, H. Cha, and J. Patel, Fast timing sim-
ters and proton-induced upsets, in Proc. 2nd Eur. Conf. Radiation Its ulation of transient faults in digital circuits, in Proc. IEEE/ACM Int.
Effects Components Syst., Sep. 1993, pp. 54545. Conf. Comput.-Aided Des., Nov. 1994, pp. 719726.
792 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 6, JUNE 2009

[21] J. Wang, B. Cronquist, and J. McGowan, RAD-HARD/HI-REL May-August 2007 as an intern on clock distribution, power gating blocks and
FPGA, in Proc. 3rd ESA Electron. Components Conf., Apr. 1997, pp. IO drivers.
251258. Dr. Rajesh was a recipient of the President Silver Medal and a Best Project
[22] G. Agrawal, L. Massengill, and K. Gulati, A proposed SEU tolerant in Electrical Engineering Award from IIT-Delhi in 2004.
dynamic random access memory (DRAM) cell, IEEE Trans. Nucl.
Sci., vol. 41, no. 12, pp. 20352042, Dec. 1994.
[23] J. P. Hayes, I. Polian, and B. Becker, An analysis framework for tran-
sient-error tolerance, in Proc. 25th IEEE VLSI Test Symp. (VTS), 2007, Nikhil Jayakumar received the Bachelors degree in
pp. 249255. electrical and electronics engineering from the Uni-
[24] C. Zhao, S. Dey, and X. Bai, Soft-spot analysis: Targeting compound versity of Madras, Madras, India, the Masters de-
noise effects in nanometer circuits, IEEE Des. Test, vol. 22, no. 4, pp. gree in electrical engineering from the University of
362375, Jul./Aug. 2005. Colorado at Boulder, Boulderm, and the Doctoral de-
[25] C. Zhao and S. Dey, Improving transient error tolerance of digital gree in computer engineering from the Department
VLSI circuits using robustness compiler (roco), in Proc. 7th Int. Symp. of Electrical and Computer Engineering, Texas A&M
Quality Electron. Des. (ISQED), 2006, pp. 133140. University, College Station.
[26] R. Garg, N. Jayakumar, S. P. Khatri, and G. Choi, A design approach He is currently with Texas Instruments, Inc.,
for radiation-hard digital electronics, in Proc. IEEE/ACM Des. Autom. Dallas, TX. During his graduate and doctoral studies
Conf. (DAC), Jul. 2006, pp. 773778. he has done research and published several papers
[27] T. Fukai, Y. Nakahara, M. Terai, S. Koyama, Y. Morikuni, T. Suzuki, in many aspects of VLSI including formal verification, clock network design,
M. Nagase, A. Mineji, T. Matsuda, T. Tamura, F. Koba, T. Onoda, Y. routing, structured ASIC design, radiation-hard design, logic synthesis, LDPC
Yamada, M. Komori, Y. Kojima, Y. Yama, M. Ikeda, T. Kudoh, T. Ya- decoder architectures, statistical timing, low leakage power design techniques,
mamoto, and K. Imai, A 65 nm-node CMOS technology with highly and sub-threshold circuit design.
reliable triple gate oxide suitable for power-considered system-on-a-
chip, in Symp. VLSI Tech. Dig., 2003, pp. 8384.
[28] Q. Lin, M. Ma, T. Vo, J. Fan, X. Wu, R. Li, and X.-Y. Li, Design-for-
manufacture for multi gate oxide CMOS process, in Proc. ISQED,
2007, pp. 339343. Sunil P. Khatri received the B.Tech. (EE) degree
[29] B. Amelifard, F. Fallah, and M. Pedram, Reducing the sub-threshold from IIT Kanpur, the M.S. (ECE) degree from
and gate-tunneling leakage of sram cells using dual-vt and dual-tox the University of Texas, Austin, and the Ph.D. in
assignment, in Proc. Conf. Des., Autom. Test Eur. (DATE), 2006, pp. electrical engineering and computer science from
9951000. the University of California, Berkeley.
[30] Altera, Stratix iii Programmable Power, San Jose, CA, May 2007. He is currently an Assistant Professor with the
[31] L. Nagel, Spice: A computer program to simulate computer circuits, Electrical and Computer Engineering Department,
Univ. California, Berkeley, CA, UCB/ERL Memo M520, May 1995. Texas A&M University, College Station. He worked
[32] Y. Cao, T. Sato, D. Sylvester, M. Orshansky, and C. Hu, New at Motorola, Inc., for four years, where he was a
paradigm of predictive MOSFET and interconnect modeling member of the design teams of the MC88110 and
for early circuit design, in Proc. IEEE Custom Integr. Circuit PowerPC 603 RISC microprocessors. His research
Conf., Jun. 2000, pp. 201204. [Online]. Available: http://www-de- interests include logic synthesis, novel VLSI design approaches to address
vice.eecs.berkeley.edu/ptm issues such as power, cross-talk, hardware acceleration of CAD algorithms and
[33] P. C. McGeer, A. Saldanha, and R. K. B. A. L. Sangiovanni-Vincetelli, cross-disciplinary applications of these topics. He has coauthored about 135
Logic synthesis and optimization, in Delay Models and Exact Timing technical publicatons, 5 U.S. Patent Awards, one book, and a book chapter.
Analysis. Norwell, MA: Kluwer, 1993, ch. 8. His research is supported by Intel Corporation, Lawrence Livermore National
[34] E. M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Laboratories, the National Science Foundation and Nascentric, Inc.
Saldanha, H. Savoj, P. R. Stephan, R. K. Brayton, and A. L. San- Dr. Khatri was a recipient of two Best Paper Awards and two Best Paper
giovanni-Vincentelli, SIS: A system for sequential circuit synthesis, Nominations.
Electron. Res. Lab., Univ. California, Berkeley, Tech. Rep. UCB/ERL
M92/41, May 1992, 94720.
[35] Cadence Design Systems, Inc., San Jose, CA, Envisia silicon en-
semble place-and-route reference, Nov. 1999. Gwan S. Choi (S90M94) received the B.S., M.S.,
[36] M. T. Bohr, R. S. Chau, T. Ghani, and K. Mistry, The high-k solution, and Ph.D. degrees, all in electrical and computer
IEEE Spectrum, vol. 44, no. 10, pp. 2935, Oct. 2007. engineering from the University of Illinois, Ur-
bana-Champaign, in 1989, 1990, 1994, respectively.
He is currently an Associate Professor with the
Department of Electrical and Computer Engineering,
Texas A&M University, College Station, since Au-
Rajesh Garg (S06) received the B.Tech degree in gust 1999. His research interests include VLSI
electrical engineering (Power) from the Indian Insti- design for reliability and performance, low-power
tute of Technology-Delhi (IIT-Delhi), Delhi, India, in communication ASICs, radiation detection sensor,
2004 and the M.S. degree in computer engineering and process-variation-tolerance. He has authored
from the Texas A&M University, College Station, in over 80 peer-reviewed articles in the area. He worked for Cray Research Inc.
2006, where he is currently pursuing the Ph.D. degree in 1987, Tandem Computers in 1990, and was a Visiting Scientist with NASA
in computer engineering. Langley Research Center in 1991.
His research interests include resilient circuit de- Dr. Choi was a recipient of research gifts and grants from National Science
sign, circuit modeling, and statistical timing analysis. Foundation, NASA, IBM, AT&T, LSI-Logics, Starvision, Texas Higher-Educa-
During MayAugust 2006, he worked as a research tion Coordinating Board, and Department of Homeland Security. He has served
intern on low power receiver implementation for as Vice-Chair of IEEE International Performance and Dependability Sympo-
UWB communication systems at Mitsubishi Electric Research Lab (MERL), sium, IPDS2000 and as committee member for a number of conferences and
Cambridge, MA. He also worked at Intel Corporation, Austin, TX, during workshops.

Potrebbero piacerti anche