Sei sulla pagina 1di 96

D I S P L AY U N T I L J A N U A R Y 2 2 , 1 9 9 8 $4.

95

SS PP EE CC II A
A LL II SS SS U
U EE

the past, present and future of the transistor

MICROCHIPS AT THE LIMIT:


HOW SMALL? HOW FAST?

RISE OF THE DUMB PC


AND THE SMART PHONE

IGBTs: LOGIC MEETS MUSCLE

QUANTUM COMPUTING

ATOM-SCALE ELECTRONICS

Copyright 1997 Scientific American, Inc.


SP ECIAL ISSUE/1997
THE SOLID-STATE CENTURY
FIFTY YEARS OF HEROES AND EPIPHANIES 7
GLENN ZORPETTE
Introducing an epic of raw technology and human triumph.

1
THE TR ANSISTOR
BIRTH OF AN ERA
MICHAEL RIORDAN AND LILLIAN HODDESON
When three Bell Labs researchers invented a replacement for the vacuum tube, the
world took little noticeat first. An excerpt from the book Crystal Fire.

THE TRANSISTOR
FRANK H. ROCKETT
From Scientific American, September 1948: this early detailed report on the significance
10

18

of the transistor noted that it may open up entirely new applications for electronics.

COMPUTERS FROM TRANSISTORS 24


Inside every modern computer or other data-processing wonder is a microprocessor
bearing millions of transistors sculpted from silicon by chemicals and light.

DIMINISHING DIMENSIONS 24C


ELIZABETH CORCORAN AND GLENN ZORPETTE
By controlling precisely how individual electrons and photons move through materi-
als, investigators can produce new generations of optoelectronic gadgets with breath-
taking abilities.

HOW THE SUPER-TRANSISTOR WORKS 34


B. JAYANT BALIGA
Think of it as a transistor on steroids. Insulated gate bipolar transistors can handle
enough juice to control the motors of kitchen blenders, Japans famous bullet trains,
and countless items in between.

WHERE TUBES RULE 44


MICHAEL J. RIEZENMAN
Surprisingly, transistors have not made vacuum tubes obsolete in all applications.
Heres a look at the jobs that only tubes can do.

THE FUTURE OF THE TRANSISTOR 46


ROBERT W. KEYES
For the past 50 years, transistors have grown ever smaller and less expensive. But how
low can they go? Are there barriers to how much more these devices can shrink before
basic physics gets in the way?

Cover and Table of Contents illustrations by Tom Draper

Scientific American The Solid-State Century (ISSN 1048-0943), Special Issue Volume 8, Number 1, 1997, published by Scientific American, Inc., 415 Madison Avenue, New York, N.Y. 10017-
1111. Copyright 1997 by Scientific American, Inc. All rights reserved. No part of this issue may be reproduced by any mechanical, photographic or electronic process, or in the form
of a phonographic recording, nor may it be stored in a retrieval system, transmitted or otherwise copied for public or private use without written permission of the publisher. To pur-
chase additional quantities: 1 to 9 copies: U.S. $4.95 each plus $2.00 per copy for postage and handling (outside U.S. $5.00 P & H); 10 to 49 copies: $4.45 each, postpaid; 50 copies or more:
$3.95 each, postpaid. Send payment to Scientific American, Dept. SSC, 415 Madison Avenue, New York, N.Y. 10017-1111. Canadian BN No. 127387652RT; QST No. Q1015332537.

2 Copyright 1997 Scientific American, Inc.


2
INTEGR ATION: THE TR ANSISTOR MEETS MASS PRODUCTION
FROM SAND TO SILICON: MANUFACTURING AN INTEGRATED CIRCUIT
CRAIG R. BARRETT
A step-by-step guide through the machines and processes that turn silicon wafers into the
brains of electronic devices.

THE LAW OF MORE


W. WAYT GIBBS
56

62

So far industry has kept pace with the 30-year-old observation by Gordon E. Moore, father of
the microprocessor, that the density of integrated circuits grew geometrically. But even he
doesnt know how much longer that can last.

TECHNOLOGY AND ECONOMICS IN THE SEMICONDUCTOR INDUSTRY 66


G. DAN HUTCHESON AND JERRY D. HUTCHESON
Skyrocketing development and manufacturing costs might eventually curb further miniatur-
ization. The good news is that computing power and economic growth could still continue.

TOWARD POINT ONE 74


GARY STIX
To keep making devices more compact, chipmakers may soon have to switch to new lithograph-
ic tools based on x-rays or other technologies. Progress, however, can be slow and expensive.

TACKLING TYRANNY 80
ALAN GOLDSTEIN
The tyranny of numbers described the showstopping problem of linking millions of micro-
components into a working machine. Then Jack Kilby hit on the idea of the integrated circuit.

THE SEMICONDUCTING MENAGERIE 82


IVAN AMATO
Silicon may be king of the chips, but there are pretenders to the throne. Gallium arsenide and

3
other semiconductors have their uses, particularly for emitting light.

THE RE VOLUTION CONTINUES


MICROPROCESSORS IN 2020 86
DAVID A. PATTERSON
Tomorrows smarter chips will owe much to the smarter design of their architecture. Indi-
vidual microprocessors may have all the memory and power of full computers.

PLASTICS GET WIRED 90


PHILIP YAM
Investigators worldwide are laboring to turn organic polymers, the stuff of plastics and syn-
thetic fibers, into lightweight, durable replacements for silicon and metals in circuits.

QUANTUM-MECHANICAL COMPUTERS 98
SETH LLOYD
The strange rules of quantum mechanics should make it possible to perform logical operations
using lasers and individual atoms, sometimes at unrivaled speeds.

THE FUTURE OF THE PC 106


BRAD FRIEDLANDER AND MARTYN ROETTER
The personal computer will disperse into a personal network of savvy, doting appliances at
both home and office, sharing data among themselves and, cautiously, with others.

FAST FACTS ABOUT THE TRANSISTOR 112


ILLUSTRATED BY DUSAN PETRICIC
Copyright 1997 Scientific American, Inc. 3
FROM THE EDITORS
Established 1845

Getting Small but Thinking Big Scientific American The Solid-State


Century is published by the staff of Sci-
entific American, with project man-

P roving the adage that great things come in small packages, tran-
sistors have grown only more important as they have shrunk. At
the clunky stage of their early development, they seemed like
mere alternatives to vacuum tubes. Even so, they led inventors to design
more compact versions of radios and other conventional gadgets. When
agement by:
John Rennie, EDITOR IN CHIEF

Michelle Press, MANAGING EDITOR

Glenn Zorpette, PROJECT EDITOR


Sasha Nemecek, ASSISTANT EDITOR
transistors could be integrated by the thousands and millions into cir- STAFF WRITERS: W. Wayt Gibbs; Gary Stix; Philip Yam
cuits on microprocessors, engineers became more ambitious. They real- Art
ized that they could mass-produce in miniature the exotic, room-filling Jessie Nathans, ART DIRECTOR
Adrienne Weiss, ASSISTANT ART DIRECTOR
machines called computers. Lisa Burnett, PRODUCTION EDITOR
With every step down in transistor size, technologists found inspira- Bridget Gerety, PHOTOGRAPHY EDITOR

tion and capability to build microelectronic devices for jobs that were Copy
Maria-Christina Keller, COPY CHIEF
not only once impossible but inconceivable. Today transistors and other Molly K. Frances; Daniel C. Schlenoff;
solid-state devices live inside telephones, automobiles, kitchen appli- Terrance Dolan; Katherine Wong;
William Stahl; Stephanie J. Arthur
ances, clothing, jewelry, toys and medical implants. This is the Informa-
tion Age not only because data processing is so common but because it is Administration
Rob Gaines, EDITORIAL ADMINISTRATOR
increasingly possible to cast all problems as matters of data manipula- Sonja Rosenzweig
tionto see the world as a frenzy of bits waiting to be tamed. Production
Three decades ago John Updike read an issue of Scientific American Richard Sasso, ASSOCIATE PUBLISHER/
VICE PRESIDENT, PRODUCTION
on materials and wrote several verses, including this one: William Sherman, DIRECTOR, PRODUCTION
Janet Cermak, MANUFACTURING MANAGER
Tanya DeSilva, PREPRESS MANAGER
The Solid State, however, kept its grains Silvia Di Placido, QUALITY CONTROL MANAGER
Of Microstructure coarsely veiled until Madelyn Keyes, SYSTEMS MANAGER
Carl Cherebin, AD TRAFFIC; Norma Jones;
X-ray diffraction pierced the Crystal Planes Kelly Mercado
That roofed the giddy Dance, the taut Quadrille
Circulation
Where Silicon and Carbon Atoms will Lorraine Leib Terlecki, ASSOCIATE PUBLISHER/
Link Valencies, four-figured, hand in hand CIRCULATION DIRECTOR

With common Ions and Rare Earths to fill Katherine Robold, CIRCULATION MANAGER
Joanne Guralnick, CIRCULATION PROMOTION MANAGER
The lattices of Matter, Glass or Sand, Rosa Davis, FULFILLMENT MANAGER
With tiny Excitations, quantitatively grand.
Advertising
Kate Dobson, ASSOCIATE PUBLISHER/ADVERTISING DIRECTOR
from The Dance of the Solids, by John Updike (collected in OFFICES: NEW YORK:
Meryle Lowenthal, NEW YORK ADVERTISING MANAGER;
Midpoint and Other Poems, Alfred A. Knopf, 1969) Kevin Gentzel; Thomas Potratz; Timothy Whiting.
DETROIT, CHICAGO: 3000 Town Center, Suite 1435,
Southfield, MI 48075; Edward A. Bartley, DETROIT MANAGER;
I hope readers of this special issue will find in it something at which Randy James. WEST COAST: 1554 S. Sepulveda Blvd.,
they too can wonder. Suite 212, Los Angeles, CA 90025;
Lisa K. Carden, WEST COAST MANAGER; Debra Silver.
225 Bush St., Suite 1453,
San Francisco, CA 94104.
CANADA: Fenn Company, Inc. DALLAS: Griffith Group

Business Administration
Joachim P. Rosler, PUBLISHER
Marie M. Beaumonte, GENERAL MANAGER
JOHN RENNIE, Editor in Chief Alyson M. Lane, BUSINESS MANAGER
Constance Holmes, MANAGER, ADVERTISING ACCOUNTING
editors@sciam.com AND COORDINATION

Chairman and Chief Executive Officer


John J. Hanley

A NOTE ON THE CONTENTS Corporate Officers


Robert L. Biewen, Frances Newburg,
Joachim P. Rosler, VICE PRESIDENTS
ome of the articles in this issue previously appeared in a different
S form in Scientific American: Diminishing Dimensions, The Future
of the Transistor, Technology and Economics in the Semiconductor In-
Anthony C. Degutis, CHIEF FINANCIAL OFFICER

Program Development
Linna C. Elliott, DIRECTOR
Electronic Publishing
Martin O. K. Paul, DIRECTOR
dustry, Toward Point One, Microprocessors in 2020, Plastics
Ancillary Products
Get Wired and Quantum-Mechanical Computers. Diane McGarvey, DIRECTOR
The original authors and the editors have updated or thoroughly re- Scientific American, Inc.
written those articles to ensure that todays readers are receiving the most 415 Madison Avenue New York, NY 10017-1111
(212) 754-0550
current information on the subjects. The Editors
PRINTED IN U.S.A.

6 Scientific American: The Solid-State Century Copyright 1998 Scientific American, Inc.
Fif t y Years of Heroes
and Epiphanies
by Glenn Zorpette

DUSAN PETRICIC
H uman beings crave legends, heroes and
epiphanies. All three run through the his-
tory of solid-state electronics like special
effects in one of Hollywoods summer blockbusters.
To begin with, solid state has an exceptionally
lunch on Tuesday, De-
cember 23, 1947.
With the invention
of the integrated circuit
in 1958 came more
poignant creation myth. Just after World War II, epiphanies and new
John Bardeen, a shy, quiet genius from a Wisconsin heroes. Robert Noyce,
college town, and Walter Brattain, an ebullient, who died in 1990, and
talkative experimenter raised in the backwoods of Washing- Jack Kilby, who is profiled in this issue, separately conceived
ton State, assembled the most mundane of materialsa tiny of integrating multiple transistors into a single, tiny piece of
slab of germanium, some bits of gold foil, a paper clip and semiconductor material. As he recalls for interviewer Alan
some pieces of plasticinto a scraggly-looking gizmo. Un- Goldstein, Kilby nurtured his idea in a laboratory that he had
gainly as it was, the device was arguably one of the most to himself for a hot summer month while his colleagues were
beautiful things ever made. Every day of your life, you use all on vacation.
thousands, if not millions, of its descendants. By the mid-1960s another hero, Gordon Moore (also pro-
After Bardeen and Brattains achievement, their boss, the filed in this issue) noticed that the number of transistors that
patrician William Shockley, improved on the delicate original could be put on a chip was doubling every 12 months. (The
device, making it more rugged and suitable for mass manu- doubling period has since lengthened to nearly two years.)
facture. What the three of them invented 50 years ago at Bell Recently, however, some industry sagesincluding Moore
Telephone Laboratories was the transistor, the device that himselfhave begun openly speculating about when Moores
can switch an electric current on and off or take a minute Law may finally come to an end and about what the indus-
current and amplify it into a much greater one. From its try will be like after it does. In this issue, we take up the sub-
humble beginnings, the transistor has become the central, ject in several articles, including Technology and Economics
defining entity of the solid-state age, the ubiquitous sine qua in the Semiconductor Industry and Toward Point One.
non of just about every computer, data-handling appliance What it all comes down to, of course, are products. And
and power-amplifying circuit built since the 1960s. extrapolating from past trends in the solid-state arena, the
The Solid-State Century, as we have chosen to define it performance of some of them will truly astound. In Micro-
for this issue, extends from the work of Bardeen and compa- processors in 2020, David A. Patterson writes that it is not
ny 50 years ago through whatever wonders the next 50 will unreasonable to expect that two decades from now, a single
surely bring. So far the first five decades have delivered not desktop computer will be as powerful as all the computers in
only the transistor but also the integrated circuit, in which Silicon Valley today.
millions of transistors are fabricated on tiny slivers of silicon; At the 50-year mark, the solid-state age has yet to show
power transistors that can switch enormous flows of electric any sign of languor or dissipation in any of its categories. In
current; and optoelectronics, a huge category in its own right microelectronics, chips with 10 million transistors are about
that includes the semiconductor lasers and detectors used in to become available. In power electronics, a new type of de-
telecommunications and compact-disc systems. vice, the insulated gate bipolar transistor (IGBT) is revolu-
In an attempt to impose order on such a mlange of mar- tionizing the entire field. In optoelectronics, astonishing de-
vels, we have divided this issue into three sections. The first vices that exploit quantum effects are beginning to dominate.
covers devicesthe transistor, semiconductor lasers and so on. And it may not be too soon to identify a few new candidates
Section two focuses on the integrated circuit. Section three for hero statuspeople such as the quantum-well wizard Fed-
describes some intriguing possibilities for the near future of erico Capasso of Lucent Technologies (which includes Bell
electronics, especially in microprocessors and computers. Labs) and B. Jayant Baliga, the inventor of the IGBT, who
In the first section we start with the chilly, overcast after- describes his transistor in this issue. As we pass the halfway
noon when Bardeen and Brattain demonstrated their germa- point in the solid-state century, it is clear that the cavalcade of
nium-and-foil whatsit to suitably impressed executives at Bell legends, heroes and epiphanies is nowhere near over yet. SA
Labs. Lets take a little license and say that the solid-state age
was born right there and then, in Murray Hill, N.J., just after GLENN ZORPETTE is project editor for this special issue.

Fifty Years of Heroes and Epiphanies Scientific American: The Solid-State Century 7
Copyright 1997 Scientific American, Inc.
1 The Transistor

Nobody could have foreseen the coming revolution when Ralph

Bown announced the new invention on June 30, 1948, at a press

conference held in the aging Bell Labs headquarters on West Street,

facing the Hudson River. We have called it the Transistor, because it

is a resistor or semiconductor device which can amplify electrical

signals as they are transferred through it. (page 10)


TOM DRAPER

Copyright 1998 Scientific American, Inc. Scientific American: The Solid-State Century 9
In December 1947 three researchers
demonstrated a device that would change
the way humankind works and plays

BIRTH OF AN ERA
by Michael Riordan and Lillian Hoddeson

W illiam Shockley was extreme-


ly agitated. Speeding through
the frosty hills west of New-
ark, N.J., on the morning of December
23, 1947, he hardly noticed the few vehi-
cles on the narrow country road leading
to Bell Telephone Laboratories. His mind
was on other matters.
Arriving just after 7 A.M., Shockley
parked his MG convertible in the compa-
ny lot, bounded up two flights of stairs
and rushed through the deserted corridors
to his office. That afternoon his research
team was to demonstrate a promising
new electronic device to his boss. He had
to be ready. An amplifier based on a semi-
conductor, he knew, could ignite a revolu-
tion. Lean and hawk-nosed, his temples
graying and his thinning hair slicked back
from a proud, jutting forehead, Shockley
had dreamed of inventing such a device
for almost a decade. Now his dream was
about to come true.
About an hour later John Bardeen and
Walter Brattain pulled up at this modern
research campus in Murray Hill, 20 miles
from New York City. Members of Shock-
leys solid-state physics group, they had
made the crucial breakthrough a week be-
fore. Using little more than a tiny, nonde-
script slab of the element germanium, a
thin plastic wedge and a shiny strip of
gold foil, they had boosted an electrical
AT&T ARCHIVES

signal almost 100-fold.


Soft-spoken and cerebral, Bardeen had
come up with the key ideas, which were
INVENTORS Shockley (seated), Bardeen (left) and Brattain (right) were the
quickly and skillfully implemented by the first to demonstrate a solid-state amplifier (opposite page).
genial Brattain, a salty, silver-haired man
who liked to tinker with equipment al-
most as much as he loved to gab. Working shoulder to shoulder for most of the prior month, day after
day except on Sundays, they had finally coaxed their curious-looking gadget into operation.
That Tuesday morning, while Bardeen completed a few calculations in his office, Brattain was over in
his laboratory with a technician, making last-minute checks on their amplifier. Around one edge of a tri-
angular plastic wedge, he had glued a small strip of gold foil, which he carefully slit along this edge with

10 Scientific American: The Solid-State Century Birth of an Era


Copyright 1997 Scientific American, Inc.
a razor blade. He then pressed both wedge and foil down simonious with his words, parceling them out softly in a de-
into the dull-gray germanium surface with a makeshift spring liberate monotone as if each were a precious gem never to be
fashioned from a paper clip. Less than an inch high, this deli- squandered. Whispering John, some of his friends called
cate contraption was clamped clumsily together by a U- him. But whenever he spoke, they listened. To many, he was
shaped piece of plastic resting upright on one of its two arms. an oracle.
Two copper wires soldered to edges of the foil snaked off to Raised in a large academic family, the second son of the
batteries, transformers, an oscilloscope and other devices dean of the University of Wisconsin medical school, Bardeen
needed to power the gadget and assess its performance. had been intellectually precocious. He grew up among the
Occasionally, Brattain paused to light a cigarette and gaze ivied dorms and the sprawling frat houses lining the shores of
through blinds on the window of his clean, well-equipped Lake Mendota near downtown Madison, the state capital.
lab. Stroking his mustache, he looked out across a baseball Entering the university at 15, he earned two degrees in elec-
diamond on the spacious rural campus to a wooded ridge of trical engineering and worked a few years in industry before
the Watchung Mountainsworlds apart from the cramped, heading to Princeton University in 1933 to pursue a Ph.D. in
dusty laboratory he had occupied in downtown New York physics.
City before the war. Looking up, he saw slate-colored clouds In the fall of 1945 Bardeen took a job at Bell Labs, then
winding down its wartime research program and gearing up
for an expected postwar boom in electronics. He initially
shared an office with Brattain, who had been working on
semiconductors since the early 1930s, and Bardeen soon be-
came intrigued by these curious materials, whose electrical
properties were just beginning to be understood. Poles apart
temperamentally, the two men became fast friends, often
playing weekend golf together at the local country club.
Shortly after lunch that damp December day, Bardeen
joined Brattain in his laboratory. Outside, the rain had
changed over to snow, which was just beginning to accumu-
late. Shockley arrived about 10 minutes later, accompanied
by his boss, acoustics expert Harvey Fletcher, and by Bells
research director, Ralph Bowna tall, broad-shouldered man
fond of expensive suits and fancy bow ties.
The Brass, thought Bardeen a little contemptuously, us-
ing a term he had picked up from wartime work with the
navy. Certainly these two executives would appreciate the
commercial promise of this device. But could they really un-
derstand what was going on inside that shiny slab of germa-
nium? Shockley might be comfortable rubbing elbows and
bantering with the higher-ups, but Bardeen would rather be
working on the physics he loved.
After a few words of explanation, Brattain powered up his
equipment. The others watched the luminous spot that was
racing across the oscilloscope screen jump and fall abruptly
as he switched the odd contraption in and out of the circuit
AT&T ARCHIVES

using a toggle switch. From the height of the jump, they


could easily tell it was boosting the input signal many times
whenever it was included in the loop. And yet there wasnt a
single vacuum tube in the entire circuit!
stretching off to the horizon. A light rain soon began to fall. Then, borrowing a page from the Bell history books, Brat-
At age 45, Brattain had come a long way from his years as tain spoke a few impromptu words into a microphone. They
a roughneck kid growing up in the Columbia River basin. As watched the sudden look of surprise on Bowns bespectacled
a sharpshooting teenager, he helped his father grow corn and face as he reacted to the sound of Brattains gravelly voice
raise cattle on the family homestead in Tonasket, Wash., booming in his ears through the headphones. Bown passed
close to the Canadian border. Following three horses and a them to Fletcher, who shook his head in wonder shortly after
harrow in the dust, he often joked, was what made a phy- putting them on.
sicist out of me. For Bell Telephone Laboratories, it was an archetypal mo-
Brattains interest in the subject was sparked by two pro- ment. More than 70 years earlier, a similar event had occurred
fessors at Whitman College, a small liberal arts institution in in the attic of a boardinghouse in Boston, Mass., when Alex-
the southeastern corner of the state. It carried him through ander Graham Bell uttered the words, Mr. Watson, come
graduate school at Oregon and Minnesota to a job in 1929 here. I want you.
at Bell Labs, where he had remainedhappy to be working
at the best industrial research laboratory in the world.
This article is excerpted from Crystal Fire: The Birth of the Informa-
Bardeen, a 39-year-old theoretical physicist, could hardly tion Age, by Michael Riordan and Lillian Hoddeson. Copyright 1997
have been more different. Often lost in thought, he came by Michael Riordan and Lillian Hoddeson. Reprinted with permission of
across as very shy and self-absorbed. He was extremely par- the publisher, W. W. Norton & Company, Inc.

Birth of an Era Scientific American: The Solid-State Century 11


Copyright 1997 Scientific American, Inc.
E arly transistors from Bell
Laboratories were housed
Transistor Hall of Fame

AT&T ARCHIVES
in a variety of ways. Shown
here are point-contact transis-
tors (first two photographs from
left). The point-contact dates to
1948 and was essentially a pack-
aged version of the original de-
vice demonstrated in 1947.
Models from the late 1950s in-
cluded the grown junction tran-
sistor (second photograph from
right) and the diffused base
transistor (far right).

In the weeks that followed, however, Kelly, then Bells research director, Shock- differentand successfulway to make
Shockley was torn by conflicting emo- ley began seeking ways to fashion a such an amplifier.
tions. The invention of the transistor, as rugged solid-state device to replace the Their invention quickly spurred Shock-
Bardeen and Brattains solid-state am- balky, unreliable switches and amplifiers ley into a bout of feverish activity. Galled
plifier soon came to be called, had been commonly used in phone equipment. His at being upstaged, he could think of lit-
a magnificent Christmas present for familiarity with the weird quantum tle else besides semiconductors for over
his group and especially for Bell Labs, world gave him a decided advantage in a month. Almost every moment of free
which had staunchly supported their ba- this quest. In late 1939 he thought he time he spent on trying to design an even
sic research program. But he was cha- had come up with a good ideato stick better solid-state amplifier, one that
grined to have had no direct role in this a tiny bit of weathered copper screen in- would be easier to manufacture and use.
crucial breakthrough. My elation with side a piece of semiconductor. Although Instead of whooping it up with other
the groups success was tempered by skeptical, Brattain helped him build this scientists and engineers while attending
not being one of the inventors, he re- crude device early the next year. It proved two conferences in Chicago, he spent
called many years later. I experienced a complete failure. New Years Eve cooped up in his hotel
frustration that my personal efforts, Far better insight into the subtleties room with a pad and a few pencils,
started more than eight years before, working into the early-morning hours
had not resulted in a significant inven- Shockleys elation on yet another of his ideas.
tive contribution of my own. By late January 1948 Shockley had
was tempered figured out the important details of his
Wonderland World own design, filling page after page of his
by not being one lab notebook. His approach would use

G rowing up in Palo Alto and Holly-


wood, the only son of a well-to-do
mining engineer and his Stanford Uni-
of the inventors.
nothing but a small strip of semicon-
ductor materialsilicon or germanium
with three wires attached, one at each
versityeducated wife, Bill Shockley end and one in the middle. He eliminat-
had been raised to consider himself spe- ed the delicate point contacts of
ciala leader of men, not a follower. of solids was neededand much purer Bardeen and Brattains unwieldy con-
His interest in science was stimulated semiconductor materials, too. World traption (the edges of the slit gold foil
during his boyhood by a Stanford pro- War II interrupted Shockleys efforts, wrapped around the plastic wedge).
fessor who lived in the neighborhood. but wartime research set the stage for Those, he figured, would make manu-
It flowered at the California Institute of major breakthroughs in electronics and facturing difficult and lead to quirky
Technology, where he majored in phys- communications once the war ended. performance. Based on boundaries or
ics before heading east in 1932 to seek Stepping in as Bell Labs vice president, junctions to be established within the
a Ph.D. at the Massachusetts Institute Kelly recognized these unique opportu- semiconductor material itself, his am-
of Technology. There he dived headlong nities and organized a solid-state phys- plifier should be much easier to mass-
into the Wonderland world of quantum ics group, installing his ambitious pro- produce and far more reliable.
mechanics, where particles behave like tg as its co-leader. But it took more than two years be-
waves and waves like particles, and be- Soon after returning to the labs in fore other Bell scientists perfected the
gan to explore how streams of electrons early 1945, Shockley came up with an- techniques needed to grow germanium
trickle through crystalline materials other design for a semiconductor am- crystals with the right characteristics to
such as ordinary table salt. Four years plifier. Again, it didnt work. And he act as transistors and amplify electrical
later, when Bell Labs lifted its Depres- couldnt understand why. Discouraged, signals. And not for a few more years
sion-era freeze on new employees, the he turned to other projects, leaving the could such junction transistors be pro-
cocky young Californian was the first conundrum to Bardeen and Brattain. In duced in quantity. Meanwhile Bell en-
new physicist to be hired. the course of their research, which took gineers plodded ahead, developing point-
With the encouragement of Mervin almost two years, they stumbled on a contact transistors based on Bardeen and
12 Scientific American: The Solid-State Century Birth of an Era
Copyright 1997 Scientific American, Inc.
Brattains ungainly invention. By the hit U.S. stores at $49.95. Texas Instru- can be made today without it. Many
middle of the 1950s, millions of dollars ments curiously abandoned this mar- thousandsand even millionsof them
in new equipment based on this device ket, only to see it cornered by a tiny, lit- are routinely packed with other micro-
was about to enter the telephone system. tle known Japanese company called scopic specks onto slim crystalline sliv-
Still, Shockley had faith that his junc- Sony. Transistor radios you could carry ers of silicon called microprocessors,
tion approach would eventually win out. around in your shirt pocket soon be- better known as microchips. By 1961
He had a brute confidence in the supe- came a minor status symbol for teenagers transistors were the foundation of a $1-
riority of his ideas. And rarely did he in the suburbs sprawling across the billion semiconductor industry whose
miss an opportunity to tell Bardeen and American landscape. After Sony started sales were doubling almost every year.
Brattain, whose relationship with their manufacturing TV sets powered by tran- Over three decades later, the computing
abrasive boss rapidly soured. In a silent sistors in the 1960s, U.S. leadership in power that had once required rooms
rage, Bardeen left Bell Labs in 1951 for consumer electronics began to wane. full of bulky, temperamental electronic
an academic post at the University of Illi- Vast fortunes would eventually be equipment is now easily loaded into
nois. Brattain quietly got himself reas- made in an obscure valley south of San
signed elsewhere within the labs, where Francisco, then filled with apricot or-
he could pursue research on his own. chards. In 1955 Shockley left Bell Labs
The three men crossed paths again in for northern California, intent on mak-
Stockholm, where they shared the 1956 ing the millions he thought he deserved,
Nobel Prize for Physics for their inven- founding the first semiconductor com-
tion of the transistor. The tension eased pany in the valley. He lured top-notch
a bit after thatbut not much. scientists and engineers away from Bell
By the mid-1950s physicists and elec- and other companies, ambitious men
trical engineers may have recognized the like himself who soon jumped ship to
transistors significance, but the general start their own firms. What became fa-
public was still almost completely obliv- mous around the world as Silicon Val-
ious. The millions of radios, television ley began with Shockley Semiconductor
sets and other electronic devices pro- Laboratory, the progenitor of hundreds
duced every year by such gray-flannel of companies like it, a great many of
giants of American industry as General them far more successful.
Electric, Philco, RCA and Zenith came The transistor has indeed proved to
in large, clunky boxes powered by balky be what Shockley so presciently called

JASON GOLTZ
vacuum tubes that took a minute or so the nerve cell of the Information Age.
to warm up before anything could hap- Hardly a unit of electronic equipment
pen. In 1954 the transistor was largely
perceived as an expensive laboratory cu-
riosity with only a few specialized ap-
plications, such as hearing aids and mil-
itary communications.
But that year things started to change
dramatically. A small, innovative Dallas
company began producing junction
transistors for portable radios, which

RADIOS went from living rooms to jack-


et pockets in the early 1960s, not long af-
ter the appearance of the first transistor-
based units. Small radios soon became a
status symbol among teenagers and young
adults. Integrated circuits have permitted
even smaller personal systems.
ARCHIVE PHOTOS/HIRZ

ARCHIVE/HERBERT

Birth of an Era Scientific American: The Solid-State Century 13


Copyright 1997 Scientific American, Inc.
units that can sit on a desk-
top, be carried in a briefcase

MICHAEL ROSENFELD Tony Stone Images


or even rest in the palm of
ones hand. Words, numbers
and images flash around the
globe almost instantaneously
via transistor-equipped satel-
lites, fiber-optic networks, cel-
lular telephones and facsimile
machines.
Through their landmark ef-
forts, Bardeen, Brattain and
Shockley had struck the first
glowing sparks of a great tech-
nological fire that has raged
through the rest of the centu-
ry and shows little sign of
abating. Cheap, portable and
reliable equipment based on
transistors can now be found
in almost every village and
hamlet in the world. This tiny
invention has made the world

ARCHIVE PHOTOS
a far smaller and more inti-
mate place than ever before.

The Media Yawns


DISSEMINATION OF INFORMATION
has been transformed by the integration
N obody could have fore-
ARCHIVE PHOTOS

seen the coming revolu- of transistors onto chips (above, top).


tion when Ralph Bown an- Computers that are inexpensive, small
and rugged (right) in comparison with
nounced the new invention their predecessors (above) are now able
on June 30, 1948, at a press to tap into global-spanning networks.
conference held in the aging They supplement more traditional con-
Bell Labs headquarters on veyors of information (left), including the
West Street, facing the Hudson River one the reader is now holding.
opposite the bustling Hoboken Ferry.
We have called it the Transistor, he
ARCHIVE PHOTOS

began, slowly spelling out the name, Perhaps too much other news was
because it is a resistor or semiconduc- breaking that sultry Thursday morning.
tor device which can amplify electrical Turnstiles on the New York subway
signals as they are transferred through system, which until midnight had al-
it. Comparing it to the bulky vacuum ways droned to the dull clatter of nick-
tubes that served this purpose in virtu- News of Radio. After noting that Our els, now marched only to the music of
ally every electrical circuit of the day, he Miss Brooks would replace the regular dimes. Subway commuters responded
told reporters that the transistor could CBS Monday-evening program Radio with resignation. Idlewild Airport had
accomplish the very same feats and do Theatre that summer, they devoted a opened for business the previous day in
them much better, wasting far less power. few paragraphs to the new amplifier. the swampy meadowlands just east of
But the press paid little attention to A device called a transistor, which Brooklyn, supplanting La Guardia as
the small cylinder with two flimsy wires has several applications in radio where New Yorks principal destination for
poking out of it that was being demon- a vacuum tube ordinarily is employed, international flights. And the hated
strated by Bown and his staff that swel- was demonstrated for the first time yes- Boston Red Sox had beaten the world
tering summer day. None of the report- terday at Bell Telephone Laboratories, champion Yankees 7 to 3.
ers suspected that the physical process began the piece, noting that it had been Earlier that week the gathering clouds
silently going on inside this innocuous- employed in a radio receiver, a telephone of the cold war had darkened dramati-
looking metal tube, hardly bigger than system and a television set. In the shape cally over Europe after Soviet occupa-
the rubber erasers on the ends of their of a small metal cylinder about a half- tion forces in eastern Germany refused
pencils, would utterly transform their inch long, the transistor contains no to allow Allied convoys to carry any
world. vacuum, grid, plate or glass envelope to more supplies into West Berlin. The U.S.
Editors at the New York Times were keep the air away, the column contin- and Britain responded to this blockade
intrigued enough to mention the break- ued. Its action is instantaneous, there with a massive airlift. Hundreds of
through in the July 1 issue, but they being no warm-up delay since no heat transport planes brought the thousands
buried the story on page 46 in The is developed as in a vacuum tube. of tons of food and fuel needed daily by

14 Scientific American: The Solid-State Century Birth of an Era


Copyright 1997 Scientific American, Inc.
in computers, although Shockley had on their radios, we can now access far

DAVID CHAMBERS Tony Stone Images


an inkling. In the postwar years elec- more information at the click of a
tronic digital computers, which could mouseand from a far greater variety
then be counted on the fingers of a sin- of sources. Or we witness such earth-
gle hand, occupied large rooms and re- shaking events as the fall of the Soviet
quired teams of watchful attendants to Union in the comfort of our living
replace the burned-out elements among rooms, often the moment they occur
their thousands of overheated vacuum and without interpretation.
tubes. Only the armed forces, the feder- Although Russia is no longer the
al government and major corporations looming menace it was during the cold
could afford to build and operate such war, nations that have embraced the
gargantuan, power-hungry devices. new information technologies based on
Five decades later the same comput- transistors and microchips have flour-
ing power is easily crammed inside a ished. Japan and its retinue of develop-
pocket calculator costing around $10, ing eastern Asian countries increasingly
thanks largely to microchips and the set the worlds communications stan-
transistors on which they are based. dards, manufacturing much of the nec-
For the amplifying action discovered at essary equipment. Television signals
Bell Labs in 19471948 actually takes penetrate an ever growing fraction of
place in just a microscopic sliver of semi- the globe via satellite. Banks exchange
conductor material andin stark con- money via rivers of ones and zeroes
trast to vacuum tubesproduces almost flashing through electronic networks all
no wasted heat. Thus, the transistor around the world. And boy meets girl
has lent itself readily to the relentless over the Internet.
the more than two million trapped citi- miniaturization and the fantastic cost No doubt the birth of a revolution-
zens. All eyes were on Berlin. The in- reductions that have put digital com- ary artifact often goes unnoticed amid
cessant roar of the planesthat typical puters at almost everybodys fingertips. the clamor of daily events. In half a cen-
and terrible 20th Century sound, a Without the transistor, the personal turys time, the transistor, whose mod-
voice of cold, mechanized angerfilled computer would have been inconceiv- est role is to amplify electrical signals,
every ear in the city, Time reported. An able, and the Information Age it has redefined the meaning of power,
empire that soon encompassed nearly spawned could never have happened. which today is based as much on the
half the worlds population seemed aw- Linked to a global communications control and exchange of information as
fully menacing that week to a continent network that has itself undergone a it is on iron or oil. The throbbing heart
weary of war. radical transformation because of tran- of this sweeping global transformation
To almost everyone who knew about sistors, computers are now revolution- is the tiny solid-state amplifier invented
it, including its two inventors, the tran- izing the ways we obtain and share in- by Bardeen, Brattain and Shockley. The
sistor was just a compact, efficient, formation. Whereas our parents learned crystal fire they ignited during those
rugged replacement for vacuum tubes. about the world by reading newspapers anxious postwar years has radically re-
Neither Bardeen nor Brattain foresaw and magazines or by listening to the shaped the world and the way its inhab-
what a crucial role it was about to play baritone voice of Edward R. Murrow itants now go about their daily lives. SA

The Authors
MICHAEL RIORDAN and LILLIAN HODDESON are co-au- Technology and is co-author of The Solar Home Book and The Hunt-
thors of Crystal Fire: The Birth of the Information Age. Riordan is ing of the Quark. Hoddeson, an associate professor of history at the
the assistant to the director of the Stanford Linear Accelerator Center University of Illinois at Urbana-Champaign, is co-author of The
and a research physicist at the University of California, Santa Cruz. Birth of Particle Physics and co-author, with Vicki Daitch, of the
He holds two degrees in physics from the Massachusetts Institute of forthcoming Gentle Genius: The Life and Science of John Bardeen.

Further Reading
The Path to the Conception of the Junction Transistor. gy. Edited by technical staff, AT&T Bell Laboratories. AT&T
William Shockley in IEEE Transactions on Electron Devices, Vol. Bell Laboratories, 1983.
ED-23, No. 7, pages 597620; July 1976. The Origin, Development, and Personality of Microelec-
Revolution in Miniature: The History and Impact of Semi- tronics. R. M. Warner in Transistors: Fundamentals for the Inte-
conductor Electronics. Ernest Braun and Stuart MacDonald. grated-Circuit Engineer. John Wiley & Sons, 1983.
Cambridge University Press, 1978. Engineers and Electrons. John D. Ryder and Donald G. Fink.
An Age of Innovation: The World of Electronics IEEE Press, 1984.
19302000. The editors of Electronics magazine. McGraw-Hill, American Genesis: A Century of Invention and Technolog-
1981. ical Enthusiasm. Thomas P. Hughes. Penguin Books, 1990.
A History of Engineering and Science in the Bell System, Crystals, Electrons and Transistors. Michael Eckert and Hel-
Vol. 4: Physical Sciences and Vol. 6: Electronics Technolo- mut Shubert. AIP Press, 1990.

Birth of an Era Scientific American: The Solid-State Century 15


Copyright 1997 Scientific American, Inc.
This article, which appeared in the
September 1948 issue of Scientific
American, offered one of the earliest
surveys of transistor technology. It is
reprinted here in its original form.
BELL LABS, LUCENT TECHNOLOGIES

18 Scientific American: The Solid-State Century The Transistor


Copyright 1997 Scientific American, Inc.
JAMES LEWICKI

The Transistor Scientific American: The Solid-State Century 19


Copyright 1997 Scientific American, Inc.
20 Scientific American: The Solid-State Century The Transistor
Copyright 1997 Scientific American, Inc.
ILLUSTRATIONS BY JAMES LEWICKI

The Transistor Scientific American: The Solid-State Century 21


Copyright 1997 Scientific American, Inc.
Computers from Transistors

Whats Inside
a Computer
T he average midrange personal computer generally
contains between 50 and 75 integrated circuits,
better known as chips. The most complex of these
chips is the microprocessor, which executes a stream of
instructions that operate on data. The microprocessor
(2) STEP AND REPEAT

has direct access to an array of dynamic random-access


memory (DRAM) chips, where instructions and data
are temporarily stored for execution. A high-end, state-
of-the-art PC might have eight DRAM chips, each ca-
pable of storing 8,388,608 bytes (64 megabits) of data.
In addition to the microprocessor and DRAMs, there
are many other kinds of chips, which perform such
tasks as synchronization and communication.

Copyright 1998 Scientific American, Inc.


How a Chip Is Made PHOTORESIST
PROJECTED
LIGHT (1) PREPARED
SILICON WAFER
SILICON
DIOXIDE LAYER
SILICON
NITRIDE LAYER

SILICON
RETICLE SUBSTRATE
(OR MASK)
(1)Integrated circuits are made by creating
and interconnecting thousands or millions of transistors on
a thin piece of silicon. The heart of the fabrication process is based on
(6)
WORKING
a cycle of steps carried out 20 or more times for a complex chip. Each cycle TRANSISTOR
starts with a different pattern, which is known as a mask. (2)Ultraviolet light pro-
jects this pattern repeatedly onto the wafer, which consists of a silicon substrate under
oxide and nitride layers. These layers will be needed to make transistors. Above them is
placed a coating of a photosensitive substance known as photoresist. In each place where the
LENS image falls, a chip will be made. (3)After being exposed, the photoresist is developed, which
delineates the spaces where the different conducting layers interconnect. The parts of the
photosensitive layer that had been exposed to the light are then removed. (4)Gases etch
these exposed parts of the wafer. (5) Transistors are created when ions shower the
exposed areas, doping them to create the positive- or negative-type semi-
conductor materials on which transistors are based. (6)Later steps
put down the layers of metal and insulator that connect
(5)
DOPING
the transistors into a circuit.

(4) ETCHING

(3) WAFER DEVELOPMENT

Copyright 1998 Scientific American, Inc.


CONTROL
VOLTAGE

SOURCE
N-CHANNEL
GATE
(METAL)

INSULATOR

ELECTRON

HOLE DRAIN

CMOS (ON)

(6)

JARED SCHNEIDMAN DESIGN


P-TYPE
WORKING SUBSTRATE
TRANSISTORS
CMOS (OFF)

DOPING
How a CMOS
Transistor Works
T he transistors in an integrated circuit are of a type known as comple-
mentary metal oxide semiconductor (CMOS). They have two regions,
the source and the drain, that have an abundance of electrons and are
therefore referred to as n (for negative) type. In between the source and
drain is a p- (positive) type region, with a surplus of electron deficiencies
(called holes).
On top of the substrate, which is made of a silicon semiconductor materi-
al, is an insulating layer of silicon dioxide; on top of this oxide layer is a
metal gate. (Hence the name metal oxide semiconductor.) When a pos-
itive voltage is applied to the metal gate, an electrical field is set up that pen-
etrates through the insulator and into the substrate. This field attracts elec-
trons toward the surface of the substrate, just below the insulator, allowing
current to flow between the source and the drain.

Copyright 1998 Scientific American, Inc.


Diminishing Dimensions

T he dimensionality of a material can be reduced by sand-


wiching it between two layers of another material that
has higher-energy electrons. This confinement changes the
by a quantum-well device, shown by the green energy levels
(right), peaks when the energy level of the incoming elec-
trons matches, or is in resonance with, an energy level of the
density of electron states, or specific energy levels, that will quantum well. At higher or lower voltages, little current leaks
be filled by incoming electrons (left). The current conducted through the device.

THREE TWO ONE ZERO

JOHN R. DEECKEN
DIMENSION

CURRENT
ZERO RESONANT VALLEY
20 NANOMETERS VOLTAGE VOLTAGE VOLTAGE
DENSITY OF STATES

ENERGY
ENERGY ENERGY ENERGY ENERGY ZERO VOLTAGE RESONANT VOLTAGE VALLEY VOLTAGE

levels. Through clever materials design, and a quantum-well laser is in the rela- The difficulty of building useful quan-
these electrons can be induced to jump tive size of each devices active region, tum wires and dots has been sobering,
from one energy level to another in an where electrons and holes (electron after the intoxicating rush of advances in
organized way, causing them to per- deficiencies) recombine, neutralizing quantum devices in the 1980s and early
form another useful tricktypically, one another and causing a photon to be 1990s. Researchers in those days envi-
emitting or detecting photons of light. emitted. The quantum-well lasers ac- sioned two different classes of quantum
tive region is small enough for the ener- devices: quantum optical devices, such
Wells, Wires and Dots gy levels of the electrons in the well to as lasers and light detectors, and quan-
become quantizedthat is, constricted tum electron devices, such as diodes and

Q uantum wellsultrathin, quasi-


two-dimensional planesare just
one of the three basic compo-
nents of quantum devices. A narrow
to discrete values. This single difference,
however, brings a major advantage: a
quantum-well laser radiates light very
efficiently, powered by much less cur-
transistors. They even spoke enthusias-
tically of fundamentally different elec-
tron devices that, unlike todays binary
on-off switches, would have three or
strip sliced from one of the planes is a rent than a conventional semiconductor more logic states. Functioning in paral-
one-dimensional quantum wire. Dicing laser. As a result, semiconductor lasers lel, these devices, it was hoped, would
up a one-dimensional wire yields zero- that operate on the principle of quantum lead to more powerful forms of comput-
dimensional quantum dots. Reducing confinement dissipate far less excess heat. er logic and become the building blocks
the number of dimensions in this man- This feature, combined with the small of dramatically smaller and faster inte-
ner forces electrons to behave in a more physical size of the lasers, means that grated circuits. There were also high
atomlike manner. By controlling the the devices can be packed tightly togeth- hopes for so-called single-electron de-
physical size and composition of the er to form arrays, are more reliable and vices. These would include, for exam-
different semiconductors in a device, re- can operate at higher temperatures. ple, quantum dots that could contain so
searchers can induce predictable chang- What is true for quantum wells is even few electrons that the addition or re-
es in electron energy. In this way, scien- more so for quantum wires and dotsat moval of even a single electron would
tists can literally pick, or tune, the elec- least in theory. In practice, it has turned result in observableand exploitable
tronic properties they want. In theory, out to be quite a bit more difficult to effects. Using so few electrons, the devic-
the fewer the dimensions, the finer the exploit the advantages of the wires and es could be switched on and off at blis-
tuning. Creating a zero-dimensional, or dots than was expected a decade ago tering speeds and with very little power,
quantum, dot is analogous to custom- when the first such low-dimensional de- investigators reasoned.
designing an atom. Like an atom, a vices were built. Over the past few years, All these concepts were verified in
quantum dot contains a certain amount quantum-well semiconductor lasers have laboratory demonstrations, but none
of electrons. But whereas the electrons become commonplace. In fact, anyone resulted in anything close to a practical
are held in an atom by their attraction who recently purchased a compact-disc product. The bottom line is that the
to the nucleus, electrons in a quantum player owns one. In contrast, quantum sizes you need for useful semiconduc-
dot are physically trapped within barri- wires and dots are still in the laboratory. tors are just too small at room tempera-
ers between semiconductor materials. Quantum wires and quantum dots are ture, Reed says. Its great science; its
The only significant difference be- still miles from applications, Capasso just not a technology. That is not to say
tween an ordinary semiconductor laser notes. But wells are already there. that there will never be some fantastic

26 Scientific American: The Solid-State Century Diminishing Dimensions


Copyright 1998 Scientific American, Inc.
Two Dimensions: Quantum Well

T he white bands in this transmission electron micrograph


are quantum wells consisting of gallium indium arsenide.
The wells, which are sandwiched between barrier layers of
aluminum indium arsenide, range in thickness from two
S.N.G. CHU Bell Labs, Lucent Technologies

atomic layers (0.5 nanometer) to 12 atomic layers (three nano-


meters). All the wells shown here are part of a single complete
stage of a quantum-cascade laser, which comprises 25 such
stages. When a voltage is applied to the device, electrons
move from left to right, and each emits a photon as it tunnels
between the two thickest quantum wells. Then the electron
moves on to the next stage, to the right, where the process re-
peats, and another photon is emitted.

breakthrough that fundamentally chang- tum dots, in which the infinitesimal de- terialtypically a crystalline semiconduc-
es things. But Im pessimistic, frankly. vices sprout up as clumps on the surface tor filled with low-energy electronsbe-
So, too, apparently, were IBM, Bell of a semiconductor layer being grown tween two slices of semiconductor crys-
Communications Research (Bellcore) with a technology known as molecular- tals with higher-energy electrons. Any
and Philips, all of which either aban- beam epitaxy, the standard fabrication electrons in the lower-energy slice will
doned quantum devices or severely cur- technique used to make quantum devic- be confined, unable to traverse the in-
tailed their research programs in the es. And though hopes are fading for a terface, or barrier, between the two dif-
mid-1990s. Nevertheless, in Japan, re- commercially useful quantum-dot elec- ferent semiconductor crystals if the bar-
search into these devices continues un- tron device in the near future, many re- rier is sufficiently thick. The interface
abated at large electronics firms and at searchers in academia are increasingly where the two crystals meet is known
many universities. A few U.S. and Euro- enthusiastic about quantum devices in as a heterojunction. One of the few dis-
pean academic institutions also continue which the electrons are contained by in- appointing characteristics of silicon as a
to explore quantum-electron devices. dividual molecules, rather than semi- semiconductor material is that it does
Yet even as work on these devices has conductor structures such as dots. not emit light. So quantum-device build-
stalled, enthusiasm is high for quantum ers use other, more exotic semiconduc-
optical devices, thanks to the quantum- A Weird, Wonderful World tors, such as gallium arsenide and its
well lasers, the quantum-cascade laser many more complex compounds.
and a few other encouraging develop-
ments. Besides Lucentwhich was re-
cently spun off from AT&TPhilips,
T o build a lower-dimensional mate-
rial deliberately, researchers must
pay court to quantum mechanics. In
The energy of electrons in semiconduc-
tor crystals is described by band theory.
When atoms are packed together to
Thomson-CSF and Siemens have active any 3-D, bulk semiconductor, electrons form a crystal, their energy levels merge
research efforts. Many of those groups, take on a nearly continuous range of to form bands of energy. Of particular
including the one at Lucents Bell Labs, different energy states when additional interest are electrons in the valence band,
hope to use such highly efficient, tiny energy is added to the material by ap- because these electrons determine some
quantum-well lasers to transmit data plying voltage. As a result, researchers of the materials properties, especially
more efficiently and at higher rates cannot tap a specific energy level; they chemical ones. Valence electrons do not
through optical-fiber networks. One must accept what they get. contribute to current flow, because they
promising project at Lucent centers on Squeezing one side of a 3-D cube un- are fairly tightly held to atoms. To con-
a quantum-wire laser that promises low- til it is no thicker than an electrons duct electricity, electrons must be in a
er-current operation. This laser would wavelength traps electrons in a 2-D higher-energy band known as the con-
be desirable in a variety of applications, plane. In two dimensions, the so-called duction band. In metals, many of the
such as optical communications, be- density of electron statesthe energy electrons normally occupy this band, en-
cause its low-current operation would levels electrons can occupybecomes abling them to conduct electric current.
enable the use of a smaller, less costly quantized. Electrons jump from one en- A semiconductor, on the other hand,
power supply. ergy level to another in a steplike fash- can be made to conduct substantial elec-
And although experimentation with ion. After determining what layer thick- tric current by introducing impurities,
quantum electron devices and quantum ness induces what energy level, workers called dopants, that deposit electrons
dots may be down, it is certainly not out. can design the precise electronic charac- into the conduction band. Electrons
Scientists at NTT Optoelectronics Lab- teristics of a material. can also be introduced into the conduc-
oratories in Japan, the University of Cal- Electrons are not really confined by tion band of a semiconductor by shin-
ifornia at Santa Barbara, the University physical barriers; instead researchers ing light into the crystal, which prods
of Southern California, Stanford Uni- must erect barriers of energy. Like water electrons from the valence band into
versity and the Paul Drude Institute in going downhill, electrons tend toward the conduction band. The photocurrent
Berlin have begun investigating an in- low-energy areas. So to trap electrons, generated in this way is exploited in all
triguing new method of creating quan- investigators need only sandwich a ma- semiconductor light detectors, such as

Diminishing Dimensions Scientific American: The Solid-State Century 27


Copyright 1998 Scientific American, Inc.
One Dimension: Quantum Wire

BELL LABS, LUCENT TECHNOLOGIES


T he cleaved-edge overgrowth method creates quantum
wires (indicated by arrows) by intersecting two seven-
nanometer-wide quantum wells, which are essentially pla-
nar. The wells (and therefore the wires) are gallium arsenide;
QUANTUM WIRES the barrier material outside the wells is aluminum gallium
arsenide. Bell Laboratories researcher Loren Pfeiffer invented
the cleaved-edge technique in 1991. An earlier method of
creating quantum wires, pioneered at Bell Communications
Research in the late 1980s, deposited the wire at the bottom
of a V-shaped groove.

those in light-wave communications. these cells, then shot down the passages blesome was preventing contamination
Alternatively, electrons can be inject- toward a substrate. By programming the of the substrate, the material backing
ed into the conduction band by a volt- shutters between the passages and the on which the thin layers would be de-
age applied to electrical contacts at the vacuum chamber, scientists can dictate posited, in order to ensure a perfect
surface of the crystal. Boosted to the the thickness of the layers deposited on meshing of the two different semicon-
conduction band, the electrons are able the substrate, which is typically made of ductor crystal lattices at the heterojunc-
to take part in interesting phenomena, gallium arsenide or indium phosphide. tion where they met.
such as falling back to the valence band Cho has likened the technique to spray In 1974 the researchers finally tri-
where they recombine with holes to painting atoms onto a substrate. The umphed. The IBM team passed a cur-
produce photons of light. aim of both groups was to create a rent through a sequence of several quan-
The energy needed to propel an elec- quantum well, which is made by de- tum wells and observed peaks in the cur-
tron from the valence to the conduction positing a very thin layer of lower-band- rent as the voltage was increased. These
band is the band-gap energy, which is gap semiconductor between layers of peaks were caused by variations in the
simply the energy difference, typically higher-band-gap material. alignment of the energy levels in adja-
measured in electron volts, between At IBM, also using MBE, Esaki, Tsu cent quantum wells and indicated that
those two bands. Some semiconductors and Chang began by alternating multi- quantum confinement was occurring. At
have higher- or lower-band-gap ener- ple layers of gallium arsenide with lay- around the same time, Raymond Din-
gies than others. Insulators, which re- ers of aluminum gallium arsenide, a gle, Arthur Gossard and William Wieg-
quire tremendous energy to push their higher-band-gap compound. At about mann of Bell Labs built several isolated
valence electrons to the higher-energy the same time, their counterparts at Bell quantum wells, shone laser light on
bands, have the largest band gaps. Labs aimed to create a quantum well in them and found that they absorbed dif-
Scientists first began attempting to a simpler way by sandwiching one thin, ferent, but predicted, frequencies of
exploit these principles to build quan- low-band-gap material between two lightan alternative indication of quan-
tum electronics devices in the late 1960s. higher-band-gap materials, thereby pro- tum confinement. Soon thereafter, Esa-
Thus, the era of quantum devices can be ducing a quantum well. The idea was ki and Chang of IBM built the first real
said to have begun 30 years ago, when to trap electrons in the lower-band-gap quantum-well devicea resonant tun-
Leo Esaki, Leroy L. Chang and Raph- semiconductorgallium arsenide, for neling diode. As its name implies, the
ael Tsu of the IBM Thomas J. Watson example, which has a band-gap energy diode exploited tunneling, one of the
Research Center in Yorktown Heights, of 1.5 electron volts. The electrons would most intriguing of quantum effects.
N.Y., began trying to build structures be unable to cross the heterojunction To understand tunneling, consider the
that would trap electrons in dimension- barrier into the layers of aluminum gal- classic quantum well described above.
ally limited environments. Confine an lium arsenide, which has a band gap of Typically, electrons are trapped between
electron in two dimensions, Chang de- 3.1 electron volts. If the gallium arsen- two high-band-gap semiconductors in
clared, and it changes everything. ide layerthe actual quantum well the lower-band-gap, 2-D well between
It was the invention of molecular-beam were just tens of atomic layers wide, two relatively thick, high-band-gap semi-
epitaxy (MBE) at Bell Labs by Alfred Y. quantum effects would be observed. conductor barriers. If the barriers are
Cho and John Arthur in the late 1960s There was no arguing with the sci- made sufficiently thin, a few nanometers,
that finally moved quantum research ence, but at the time, it was ahead of the say, the laws of quantum mechanics in-
from the theoretical to the practical ability of the new MBE technology to dicate that an electron has a substantial
realm. At the heart of an MBE machine exploit it. Efforts of both the IBM and probability of passing throughthat is,
is an ultrahigh-vacuum chamber, which AT&T groups bogged down in fabrica- tunneling throughthe high-band-gap
allows workers to deposit layers of at- tion problems. For one, how do you lay barriers.
oms as thin as 0.2 nanometer on a heat- down an even layer of material a few Consider now an empty quantum
ed semiconductor substrate. Attached atoms deep? We had to build a vacuum well, surrounded by such ultrathin bar-
to the vacuum chamber, like spokes on system ourselves to deposit the ultra- riers. The whole structure, consisting of
a hub, are three or four passages that thin layers, says Chang, now dean of barriers and well, is sandwiched between
lead to effusion cells. Elements such as science at the Hong Kong University of electrically conductive contact layers.
gallium or aluminum are vaporized in Science and Technology. Equally trou- The trick is to apply just the right volt-

28 Scientific American: The Solid-State Century Diminishing Dimensions


Copyright 1998 Scientific American, Inc.
Zero Dimension: Quantum Dot

T hree years ago researchers at Stanford University


managed to produce multiple layers of quantum
dots, in columns with the dots aligned vertically. Shown
here are two columns, each with five dots. The top left
dot is 18 nanometers wide; all the dots are about 4.5
nanometers high. The dots are indium arsenide; the sur-
JAMES S. HARRIS Stanford University

rounding barrier material is gallium arsenide. The ability


to produce vertically aligned dots is considered an im-
portant step toward the integration of the dots into a
useful device, such as a memory, in which each dot
would be a memory element. A previous method, dating
to the late 1980s, used lithographic techniques to create
comparatively much larger dots.

age to the contact layers, so that the en- culesof pollutants or contaminants, they drop down to a lower energy state,
ergy of the electrons entering the quan- for instancein the air. E1. To achieve laser action, two condi-
tum well matches the energy level of the All such molecules absorb electromag- tions have to be satisfied. First, the high-
well itself. In this resonant tunneling netic radiation at unique, characteristic er energy level, E2, must have a larger
phenomenon, many of the entering and very specific frequencies. And many number of electrons than the lower one,
electrons will tunnel through the barri- of these wavelengths, it so happens, are E1. This state, known as a population
ers, giving rise to a high current. in the mid- and far-infrared range. So inversion, ensures that light is amplified
This passage of electrons can be ex- by tuning a laser, such as the quantum rather than attenuated.
ploited in various ways. Electrons can cascade, to the appropriate wavelength, The second requirement for laser ac-
be caused to tunnel from one quantum shining the beam through air and mea- tion is that the semiconductor material
well to another in a complex device, suring the amount of radiation absorbed, in which the photons are being generat-
which has many quantum wells. (Bell researchers could detect the presence ed must be placed between two partial-
Labss quantum-cascade laser is such a and concentration of a certain molecule ly transparent mirrors. This placement
device.) Alternatively, the tunneling can in the air. Environmentalists could use allows photons generated by electrons
be the end result in itself, if the device is such a laser to monitor the emissions jumping from E2 to E1 to be reflected
a diode or transistor, and the point is to from a smokestack or from the tailpipe back and forth between the mirrors.
have current flow. of an automobile. Semiconductor spe- Each time these photons traverse the
cialists could use it to check the cleanli- material, they stimulate more electrons
Material Marvel ness of a processing line, in which even to jump from E2 to E1, emitting yet
a few stray molecules can render a chip more photons, leading to laser action
(hence the acronym: light amplification
A lthough tunneling has so far proved
a bust in the world of quantum-
electron devices, its utility in optical de-
useless. Law-enforcement and security
officials could check for smuggled drugs
or explosives. With sufficient power,
by stimulated emission of radiation). In
a conventional semiconductor laser and
vices has been ratified by the quantum- such a laser might even be used on mili- also in the QC laser, the mirrors are
cascade laser, a material marvel. The QC tary jets, to blind or fool hostile built into the laser material. These per-
laser, as it is known, is the first semicon- heat-seeking surface-to-air missiles. (In fectly smooth and reflecting facets are
ductor laser that does not exploit re- fact, a significant part of the current obtained by cleaving the semiconductor
combinations of holes and electrons to funding for the quantum-cascade laser bar along crystalline planes.
produce photons and whose wave- is coming from the Defense Advanced Maintaining a population inversion
length, therefore, is completely deter- Research Projects Agency.) demands that electrons be cleared away
mined by an artificial structurename- The laser culminates more than a from the lower energy level, E 1. To do
ly, the dimensions of a quantum well. It decade of tenacious pursuit by Lucents this requires yet another level, E0, to
is also the most powerful mid-infrared Capasso, who at times was nearly alone which the electrons can be deposited af-
semiconductor laser by far and the first in the conviction that it could be built. ter they have served their purpose. In the
that works at room temperature. I told Federico in the mid-1980s that quantum-cascade laser, these three en-
With respect to laser radiation, the it couldnt work, Yales Reed says. Im ergy levels are engineered into a series of
mid- and far-infrared regions have been happy to be proved wrong. active regions, each consisting of three
a barren land, where the few available The fundamental requirement of a quantum wells. But there is not a one-to-
semiconductor lasers are generally weak, laser, regardless of its type, is to maintain one correspondence between the three
cumbersome or constrained to narrow a large number, or population, of energy levels and the three wells; rather
frequency bands. This lack of adequate electrons in an excited state. The elec- the energy levels can be thought of as
mid- and far-infrared lasers has preclud- trons are promoted to this excited state, existing across all three wells. Some ex-
ed the development of an entire class of which well call E2, by applying energy tremely intricate materials processing
spectroscopic devices capable of mea- from some external source. These elec- enables electrons to tunnel easily from
suring minute concentrations of mole- trons emit a photon of radiation when one well to the next. It is this strong

Diminishing Dimensions Scientific American: The Solid-State Century 29


Copyright 1998 Scientific American, Inc.
coupling that causes the various quan- energy between E1 and E0. Capassos staircase to the bottom. The end result
tized energy levels to be, in effect, shared group ensures that electrons do not lin- is that a single electron passing through
among the three quantum wells. ger in E1 by setting this energy difference the laser emits not one photon but 25.
In operation, electrons pumped to a to be equal to that of a single phonon. So far Capassos group has achieved
high-energy level tunnel into, and are In other words, to drop from E1 to E0, continuous emission only at cryogenic
captured by, the first two quantum wells. the electron need only emit one phonon. temperatures. Recently the laser set a
These electrons are at E2. The electrons A phonon is a quantum of heat, much record for optical power200 milli-
then drop from E2 to E1, emitting a pho- like a photon is of light. Put another wattsat 80 kelvins, about the temper-
ton in the process. The wavelength of way, a phonon is the smallest amount ature of liquid nitrogen. The workers
the photon is determined by the energy of energy that the electron can lose in have also achieved, at room tempera-
difference between E2 and E1, as dis- dropping from one level to another. ture, pulses that peak at 200 milliwatts.
covered by the Danish physicist Niels Ingenious as they are, these features They aim to make their lasers work con-
Bohr in 1913. Thus, Capasso and his are not what makes the QC laser so tinuously at room temperature, a feat
colleagues can tune the wavelength of unique. The lasers most novel charac- that could lead to all manner of portable,
the emitted radiation merely by adjust- teristic is that it generates photons from compact sensing devices. It is not an un-
ing the width of the quantum wells to not one of these three-quantum-well realistic goal, they say, given the devel-
give the desired difference between E2 complexes but rather from 25 of them. opment pattern that has occurred with
and E1. Using the same combination of The three-well complexes, which are other semiconductor devices. There
materials, their laser spans the wave- known as active regions, are arranged in are no physics that forbid it, says
length range from four to 13 microns. a series. Each successive active region is Faist, who started working with Capas-
The electrons then tunnel into the at a lower energy than the one before, so in 1991. Its definitely feasible.
third quantum well, where they drop to so the active regions are like steps in a
E0 before tunneling out of this last well descending staircase. In between the ac- Wiry Semiconductors
and exiting the three-well complex. In tive regions are injector/relaxation re-
order to maintain the population inver-
sion, the electrons can persist at E1 for
only an extremely short period. This
gions, which collect the electrons com-
ing out of one active region and pass
them on to the next, lower-energy one.
W hile some hail the QC laser as the
latest manifestation of the utility
of quantum wells, others wonder when
transience is guaranteed through yet All the active and injector/relaxation re- the more exotic quantum structures
more materials science wizardry: specif- gions are engineered to allow electrons wires and dotsare going to start catch-
ically, by engineering the difference in to move efficiently from the top of the ing up. Physics suggests that if the two-

Electrons Traveling One by One

C urrent in conventional electronic devices is considered a kind


of flowing river of electrons, in which the electrons are as un-
countable as molecules of water. Reduce the dimensions of the ma-
side by a nonconductive junction connected to a metallic elec-
trode, or arm. When a large voltage was applied across this de-
vice, between the two arms, it behaved like a conventional resistor.
terial, and the energy of those electrons becomes quantized, or di- But at temperatures of about one kelvin and voltages of a few
vided into discrete increments. Still, the precise number of elec- tenths of a millivolt, the resistance increased dramatically. No cur-
trons defies calculation. rent could flow through the device because the electrons had in-
Now, at the National Institute of Standards and Technology (NIST) sufficient energy to get past the junctions and onto the island. In-
in Boulder, Colo., researcher Mark Keller is building a system to creasing the voltage to about one millivolt, however, gave electrons
make ultra-accurate measurements of capacitance, a form of elec- just enough energy to tunnel from the arm, across the junction and
trical impedance, by precisely counting the number of electrons to the island.
put on a capacitor. The heart of Kellers creation is a circuit that can To control the flow of electrons, the voltage was applied to the is-
count some 100 million electrons, give or take just one. This tally, land through a capacitor (not a standard capacitor but a high-qual-
along with a commensurate measurement of the voltage on the ca- ity but otherwise ordinary capacitor). As the capacitor charged and
pacitor, will be used to determine capacitance with extreme accu- discharged, the voltage increased and decreased, forcing one elec-
racy. Thus, the capacitor will become a standard, useful to techno- tron onto, and then off, the central island. An alternating current,
logical organizations for such applications as calibrating sensitive fed to the capacitor, controlled the charging and discharging; thus,
measuring equipment. the frequency of the alternating current determined the rate at
Kellers system is an expanded version of the electron turnstile in- which individual electrons passed through the island.
vented in the late 1980s by researchers at Delft University in the The concept was elegant, but the implementation fell short of the
Netherlands and at the Saclay Center for Nuclear Research in France. mark. The Delft and Saclay workers were able to get accuracies of
In those days, the Delft and Saclay workers were trying to build an one electron in about 1,000. For them to top the existing standard
electron counter that could be used as a standard for current, rather for current, precision of better than one in 10 million would have
than capacitance. Ultra-accurate electron counts are, in theory at been necessary. The problem with this single-island setup was that
least, useful for setting a standard for either quantity. occasionally two electrons, or none at all, would pass through the
The central part of the electron turnstile was an aluminum elec- island during a cycle of the alternating current. Moreover, current
trode about one micron long and coated with aluminum oxide. flow through the turnstile, at about a picoampere (0.000000000001
This bar was known as an island because it was isolated on each ampere) was too low for useful metrology.

30 Scientific American: The Solid-State Century Diminishing Dimensions


Copyright 1998 Scientific American, Inc.
dimensional realm is promising, then two more barriers chemically, using a using conventional MBE. Researchers
one or zero dimensions is even better. lithographic technique. The wire, then, rotate the sample 90 degrees and scratch
Electrons scatter less and attain higher exists between these barriers and above it to initiate the cleft. The sample is then
mobilities when traveling through a and below the wells heterojunctions. broken cleanly at the scratch to create
quantum wire than through a plane. The etched barriers, however, tend to an atomically sharp, perfect edge. Then
What this means is that lower-dimen- confine poorly in comparison with the MBE resumes putting down new lay-
sional lasers could be powered by far heterojunctions, and therefore the cross ersthis time on top of the cleaved edge.
less current. Such lasers would also section of the wire turns out to be a Thus, the technique creates two perpen-
have a lower lasing threshold, which rather long oval, roughly 50 by 10 nano- dicular planes of gallium arsenide, which
means that lower populations of free meters. Another technique, pioneered intersect in a quantum wire with a cross
electrons and holes would be necessary at Bellcore in the late 1980s, deposits the section seven nanometers wide.
to get them to emit laser radiation. This wire using MBE techniques at the bot- In 1993 Pfeiffer and his colleagues
characteristic in turn would mean that tom of a V-shaped groove. These wires demonstrated that a quantum-wire la-
the lasers could be modulated at higher also suffer from some of the drawbacks ser has an unusual property: it emits
frequencies and therefore transmit in- of the ones created through lithography. photons that arise from the recombina-
formation at a higher rate. Currently, the leading technique for tion of excitons, which are bound elec-
That kind of incentive is keeping re- creating symmetric quantum wires is the tron-hole pairs, analogous to the bind-
searchers interested in quantum-wire cleaved-edge overgrowth method, first ing between an electron and a proton in
lasers despite daunting challenges. To demonstrated at Bell Labs by researcher a hydrogen atom. In a conventional
make the wires, they must wall up four Loren Pfeiffer in 1991. The technique is semiconductor laser or even in a quan-
sides of a low-band-gap material with now in use at Lucent, the Research Cen- tum-well laser, on the other hand, the
higher-band-gap barriers thin enough ter for Advanced Science and Technolo- densely packed excitons interact, dis-
to let electrons tunnel through on com- gy at the University of Tokyo and the rupting the relatively weak pairing be-
mandabout an electron wavelength Walter Schottky Institute in Garching, tween electron and hole. The resulting
thick. Exercising the precise control Germany. The method creates two quan- electron-hole plasma still generates pho-
needed to make such vertical walls is tum wells that intersect perpendicularly tons, but from the mutual annihilation
tricky, to say the least. in a quantum wire. The first well, a sev- of free electrons and free holes, rather
Several techniques have been devel- en-nanometer-thick layer of gallium ar- than from the recombination of elec-
oped. A quantum well already has two senide sandwiched between layers of trons and holes already paired together
barriers, and one method simply etches aluminum gallium arsenide, is grown in excitons.

NATIONAL INSTITUTE OF STANDARDS AND TECHNOLOGY


In the early 1990s researcher John Martinis of NIST picked up on
the work but did so in hopes of producing a standard for capaci-
tance rather than for current. Shortly before, the Saclay researchers
had expanded their electron turnstile into an electron pump, with
two islands and three junctions. Martinis then built a pump with
four islands separated by five nonconductive junctions. The num-
bers were chosen because theoretical calculations based on the
physics of tunneling had indicated that they would suffice to
achieve an accuracy of one part in 100 million, the figure needed to
create a competitive standard for capacitance.
In these pumps, alternating current fed to a capacitor still con-
JUNCTIONS are the small, bright dots
trolled the voltage applied to each island. But these currents were where one island touches the one above it.
synchronized so that the voltages were applied sequentially down
the chain of islands, in effect dragging a single electron through the
chain from island to island. When incorporated into a capacitance and, just recently, achieved the desired accuracy of one part in 100
standard, sometime in the near future, the circuit will be arranged million. He is now working to turn the circuit into a practical capac-
so that the electrons that go through the chain of islands will wind itance standard, using a special capacitor developed by NISTs Neil
up on a standard capacitor. Thus, the number of cycles of the alter- Zimmerman. The capacitor does not leak charges and is unusual-
nating current will determine the number of electrons on that stan- ly insensitive to frequency.
dard capacitor. With the metrological goal achieved, Keller and Martinis have
By offering electrical control over each of the junctions, the elec- turned their attention to the nagging mismatch between experi-
tron pump turned out to be considerably more accurate than the ment and theory. They believe they have identified an important
electron turnstile. Yet the sought-after accuracy of one part in 100 source of error, unacknowledged in the original theory. The error,
million was still out of reach. In a paper published in 1994, Martinis they suspect, is caused by electromagnetic energy from outside the
reported that he had achieved accuracy of five parts in 10 million. pump, which gets in and causes electrons to go through junctions
Researchers were unsure why the accuracy fell short of the theoret- when they should not. The two researchers are now conducting
ical prediction. painstaking measurements to test the idea. Sometimes, it would
Along came Mark Keller, who joined NIST as a postdoctoral em- appear, good science comes out of technology, rather than the oth-
ployee in 1995. Keller extended the electron pump to seven islands er way around. E.C. and G.Z.

Diminishing Dimensions Scientific American: The Solid-State Century 31


Copyright 1998 Scientific American, Inc.
This seemingly small difference in Physics suggests that in 1994 Harris succeeded in producing
physics leads to a major difference in multiple layers of the dots in a single
the way that the lasers radiate. As the if the two-dimensional crystal. The dots were created in such a
intensity of an ordinary semiconductor way that those in one layer were all per-
laser is increased (say, by boosting the realm is promising, then fectly aligned with the ones above and
current) the energy of the photon emis- below [see illustration on page 29]. The
sions from a free-electron-hole plasma one or zero dimensions achievement was an important step to-
is reduced. This phenomenon, called ward the integration of many dots into
band-gap renormalization, causes the la-
is even better. a useful devicea memory device, for
sers emission frequency to shift down- example, in which each dot is a memo-
ward, which could inhibit the perfor- ry element.
mance if the laser is being used for spec- Although electron devices such as
troscopy or to transmit information. In thousands or hundreds of thousands of memories are a distant possibility, opti-
the intense confinement of a wire or atoms. Confined in a dot, or box, elec- cal devices such as lasers have already
dot, on the other hand, the excitons do trons should occupy discrete energy lev- been demonstrated. In 1995 Dieter Bim-
not fragment, so the frequency remains els. It should be possible, therefore, to berg of the Technical University of Ber-
stable when input current, and there- dial up precise energy levels by adjust- lin coaxed laser radiation from an array
fore output power, is increased. ing the construction of the quantum of perhaps a million of the layered dots
Earlier this year Pfeiffer and his col- box and by varying the applied voltage. for the first time. Since then, several
league Joel Hasen found that at low In the 1980s and early 1990s research- groups, including ones at the National
temperatures, their quantum wires ers created dots by using lithographic Research Council of Canada in Ottawa,
metamorphose in such a way that exci- techniques similar to those used to at a Fujitsu laboratory in Japan and at
ton emission comes not from a uniform make integrated circuits. Success was the Ioffe Institute in St. Petersburg, Rus-
wire but from a series of dozens of quan- sporadic and limited to relatively small sia, have also managed to draw laser ra-
tum dots spread out along the 30-mi- numbers of dots, which were essentially diation from the dots. Harris contends
cron length of the wire. At these low useless as lasers. that the radiation, which is in the near-
temperatures, the unevenness of the The picture began to change a couple infrared, comes from the dots in these
wires width has an interesting effect on of years ago with the invention of so- arrays and not from the underlying sub-
its quantum behavior. To understand called self-assembly techniques. Re- strate, which is actually a quantum well.
this effect requires a little background searchers had noticed that oftentimes, Other researchers are less convinced.
on MBE. Because of limitations in even clumps would form spontaneously on Harris adds that the dot arrays have
the best MBE systems, a uniform quan- the surface of extraordinarily thin layers impressive characteristics as lasers but
tum wire cannot be made, say, 24 of certain materials grown with MBE. are not now in the same league with the
atomic layers wide for its entire length. Initially considered something of an an- best quantum-well lasers.
In some places it may be 23, and in oth- noyance, the phenomenon was actually Other research teams working on self-
ers, 25 (these differences are known as rather intriguing. assembled dots include ones at the Uni-
monolayer fluctuations). Suppose a single monolayer of indi- versity of California at Santa Barbara, at
At low temperatures, excitons are less um arsenide is grown on a substrate of the French telecommunications research
able to penetrate the narrower, higher- gallium arsenide. This single monolayer laboratory CNET and at two German
energy parts of the wire; thus, these nar- perfectly and evenly covers the gallium research organizations, the Max Planck
row areas become de facto barriers along arsenide. As more indium atoms are Institute in Stuttgart and the Technical
the wire. They wall off sections of the added, however, the perfect coverage University of Munich.
wire, creating a string of dots. It is too ceases. Specifically, when enough atoms Meanwhile, at the IBM Thomas J.
soon to say whether this phenomenon have been laid down so that the average Watson Research Center, Sandip Tiwari
will give rise to any practical applica- coverage is between about 1.6 and 1.8 is trying to use silicon to build a mem-
tions. But it has already prompted Pfeif- monolayers, the clumping begins. Its ory system based on quantum dots. Ti-
fer and Hasen to make plans to study really amazing, says James S. Harris, wari starts with a very thin layer of sili-
the differences between the radiative professor of electrical engineering at con dioxide, on which he seeds silicon
properties of wires and of dots in lasers. Stanford. It is incredible that it occurs quantum dots, exploiting a phenome-
This is the first quantum system where in such a narrow window. By the time non similar in some respects to self-as-
you can change the temperature and go enough material has been laid down for sembly. Tiwari is studying the charac-
between two different regimes: wires three even monolayers, what has formed teristics of single and multiple dotsfor
and dots, Hasen declares. instead is an aggregation of an enor- example, the change in electric field as
mous number of clumps, each five or six an electron is added or removed from a
The Zero Zone monolayers high, separated by much dot containing five to 10 electrons.
shallower regions.

I n fact, the quantum dot, the ultimate


in confinement, is still the subject of
Scientists soon realized that these
clumps, resembling tiny disks four to five
The Molecule as Dot

intensive research, particularly in uni-


versity laboratories in North America,
Japan and Europe. Quantum dots have
nanometers high and 12.5 nanometers
in diameter, could function as quantum
dots. Though somewhat larger than
I n projects similar to Tiwaris, several
research groups recently realized one
of the most sought-after goals of quan-
been called artificial atoms, in spite of that would be ideal, the dots are easily tum electronics: a memory system in
the fact that they generally consist of and quickly made by the millions. And which a bit is stored by inserting or re-

32 Scientific American: The Solid-State Century Diminishing Dimensions


Copyright 1998 Scientific American, Inc.
moving an electron from an otherwise
empty quantum dot. In this system,
each dot has an associated transistor-
like device, which also operates with in-
dividual electrons and reads the dot
by detecting the presence (or absence)
of an electron from its electric field. The
dots used in these experiments are pro-
duced using lithography rather than
self-assembly, because of the difficulty
of linking self-assembled dots to the
transistorlike devices. Operating as they
do with just one electron, and in zero
dimensions, these devices are in effect
quantized in charge as well as in space.
Researchers at such institutions as
Harvard University, S.U.N.Y.Stony
Brook, Notre Dame, Cambridge, Hi-
tachi, Fujitsu and Japans Electrotechni-
cal Laboratory have built single-electron
dots, transistors or complete, working
memory cells. Unfortunately, the exper-
iments have produced small numbers of

GEORGE CHU Bell Labs, Lucent Technologies


devices, some of which can be operated
only at extraordinarily low tempera-
tures. Most inauspiciously, no promis-
ing methods for connecting millions of
the devices, as would be required for a
practical unit, have emerged.
Just as hopes for practical, single-elec-
tron quantum dots are fading in some
circles, they are rising for an alternative QUANTUM WELL is three atomic layers of gallium indium arsenide (horizontal strip
approach: using molecules, instead of indicated by two arrows) between layers of indium phosphide. Blue areas in this false-
synthesized quantum dots, for the con- colored transmission electron micrograph show the positions in the crystalline lattice of
atoms, which are separated by a mere 0.34 nanometer (340 trillionths of a meter).
finement of single electrons. There has
been a realization over the past year that
if zero-dimension, single-electron quan- suring the conductivity of the wire alone, reasonable current, on the order of
tum devices do happen in a technologi- the researchers had inserted the wire tenths of microamps, one electron at a
cally useful way, theyre going to hap- into a relatively dense thicket of non- time, Tour notes proudly.
pen in the molecular area, not in the conductive alkanethiol molecules. They Where is all this work headed? Ideal-
semiconductor area, says Yales Reed. found that the wires, which were about ly, to a molecule that acts like a transis-
Over the past couple of years, re- 2.5 nanometers long by 0.28 nanome- tor. Such an infinitesimal device would
searchers have managed to measure the ter wide, had high conductivity relative have to have at least three contact points,
characteristics of individual molecules. to that of other molecules that had or terminals, if current flow between
At the University of South Carolina, been probed and tested in this way. two of the terminals were to be con-
professor James M. Tour was part of a More recently, a collaboration be- trolled by another. Although three- and
group that began a few years ago with tween Yale and the University of South four-terminal molecules have been sim-
wires created by linking benzene-based Carolina measured the electrical con- ulated on a computer and even pro-
molecules into a sort of chain. The re- ductivity of a single benzene-based mol- duced in the laboratory, the challenges
searchers also produced the molecular ecule situated between two metallic con- of testing them are prohibitive. Three-
equivalent of alligator clips, which are tacts. Unfortunately, the electrical resis- terminal molecules are so small, you
affixed to the ends of the benzene-based tance of the setup was mainly in the thiol cant bring the scanning-tunneling-mi-
wires. The clips consist of thiol mole- alligator clips, between the molecule and croscope tips, which are macroscopic,
cules (linked sulfur and hydrogen atoms), the metallic contacts. So they wound up close together enough to contact all
which let them connect the wires to measuring mainly the resistance of the three terminals, Tour says.
metal substrates or other molecules. alligator clips. To get around the prob- It is too soon to say whether quan-
Last year Tour was part of another lem, they are working on more conduc- tum electronics will make much prog-
team that connected one of the wires to tive clips. Still, Tour points out that the ress along this particular route. But it is
a gold substrate. To measure the con- researchers succeeded in verifying that clear that as miniaturization lets opto-
ductivity of the wire, the researchers they were able to put only one electron electronics and electronics delve deeper
contacted one end of the wire with the at a time into the molecule. Thus, the into the strange, beautiful quantum
tip of a scanning-tunneling microscope device is the molecular embodiment of world, there will be intriguing and splen-
(STM). To ensure that they were mea- a single-electron quantum dot. We got did artifacts. SA

Diminishing Dimensions Scientific American: The Solid-State Century 33


Copyright 1998 Scientific American, Inc.
The insulated gate bipolar transistor
is transforming the field of power electronics

HOW THE SUPER-


TRANSISTOR WORKS
by B. Jayant Baliga

A lthough it is rarely acknowl-


edged, not one but two dis-
tinct electronic revolutions
were set in motion by the invention of
the transistor 50 years ago at Bell Tele-
of silicon containing millions of transis-
tors, each measuring a few microns and
consuming perhaps a millionth of a watt
in operation.
The other, less well known, revolution
vention of a new kind of transistor, the
insulated gate bipolar transistor (IGBT).
These semiconductor devices, which are
about the size of a postage stamp, can be
grouped together to switch up to 1,000
phone Laboratories. The better known is characterized by essentially the oppo- amperes of electric current at voltages
of the two has as its hallmark the trend site trend: larger and larger transistors up to several thousand volts. Most im-
toward miniaturization. This revolution capable of handling greater amounts of portant, IGBTs can switch these currents
was fundamentally transformed in the electrical power. In this comparatively at extraordinarily fast speeds, making
late 1950s, when Robert N. Noyce and obscure, Brobdingnagian semiconduc- them far superior in every way to their
Jack Kilby separately invented the inte- tor world, the fundamental, transfor- predecessors.
grated circuit, in which multiple transis- mative event occurred only a few years Already IGBTs are being used as a
tors are fabricated within a single chip ago. And the golden era is just getting kind of switch to control the power flow-
made up of layers of a semiconductor under way. ing in many different kinds of applianc-
material. Years of this miniaturization The seminal development in this field, es, components and systems. In many of
trend have led to fingernail-size slivers known as power electronics, was the in- these items, groups of IGBTs are con-

34 Scientific American: The Solid-State Century How the Super-Transistor Works


Copyright 1997 Scientific American, Inc.
FUJI ELECTRIC CO. LTD.

KIM KULISH Sygma


CRANDALL Image Works
BLACK & DECKER

MARK JOSEPH Tony Stone Images


TONY STONE IMAGES

APPLICATIONS of the insulated gate bipolar transistor (IGBT) movement of robotic arms demands superior motor controls.
encompass a diverse group: steam irons, telephone-system cen- The device itself consists of a sliver of silicon encased in plastic
tral office switches, electric cars and high-speed trains. IGBTs (upper left inset photograph). The transistors capabilities are
are particularly attractive in factory automation, because precise impressive: IGBTs are available that can switch 1,000 amperes.

nected together to control the power household in a developed country has amplitude of the sine wave that is ap-
applied to electric motors. been estimated to have over 40 electric plied to the motors windings. With this
Electric-motor controls are a major motors, in appliances such as blenders, type of control system, which is known
business, with applications in both in- power tools, washers and dryers and in as an adjustable-speed drive, the mo-
dustry and the home. Factories, for ex- the compressors of refrigerators, freez- tors rotor turns with the same frequen-
ample, generally rely heavily on motor- ers and air conditioners. Essentially all cy as the sine wave. Groups of IGBTs
driven machinery, equipment or robots. electric cars built within the past few can be used to create this sine wave by
Electrically powered streetcars and years also rely heavily on IGBTs. putting out pulses of precisely con-
trains, too, need motor controls. The The speed and power of most modern trolled duration and amplitude. Be-
motors in Japans famous Shinkansen alternating-current motors, whether the cause IGBTs can be switched on and off
bullet trains, for example, are now con- motor is in a blender or a bullet train, so rapidly, they can produce a compar-
trolled by IGBTs. And the average are varied by altering the frequency and atively smooth sine wave. This smooth-

How the Super-Transistor Works Scientific American: The Solid-State Century 35


Copyright 1997 Scientific American, Inc.
OXIDE
MOSFET (OFF) GATE INSULATION MOSFET (ON)
(METAL) CONTROL
SOURCE DRAIN FRINGING VOLTAGE
TERMINAL TERMINAL FIELD
(METAL) (METAL)
WORKING
CURRENT
N-TYPE
DRAIN
N-TYPE
SOURCE

ELECTRON
HOLE P-TYPE SUBSTRATE N-CHANNEL
(SEMICONDUCTOR) IS FORMED

PNP BIPOLAR (OFF) PNP BIPOLAR (ON) FLOW OF


BASE CONTROL CHARGE
TERMINAL COLLECTOR WORKING (ADDS ELECTRONS)
TERMINAL CURRENT
EMITTER
TERMINAL

P-TYPE
COLLECTOR
P-TYPE
EMITTER

N-TYPE
BASE

MOSFET AND BIPOLAR TRANSISTORS are combined to same time, it attracts electrons, forming between the source and
create an IGBT, a rugged, high-power device. In the metal oxide the drain a so-called n-channel, through which a working cur-
semiconductor field-effect transistor, or MOSFET, current flow rent flows. In the p-n-p bipolar transistor, a relatively small con-
is enabled by the application of a voltage to a metal gate. The trol current adds electrons to the base, attracting holes from the
voltage sets up an electrical field that repels positively charged emitter. These holes flow from the emitter to the collector, con-
electron deficiencies, known as holes, away from the gate. At the stituting a relatively large working current. In the IGBT, a con-

ness in turn keeps the motor from gen- easily have a frequency above the range to regulate the power that surges through
erating excessive harmonics, which are of human hearing. Thus, IGBTs can be these gas-filled tubes, breaking down
stray sine waves with frequencies that used to build completely silent com- the gass electrical resistance and caus-
are higher by a factor of two, three, pressors for air conditioners, refriger- ing it to emit electromagnetic radiation.
four and so on. Harmonics create heat, ators and the like. That hum that comes All told, power-electronics devices,
waste energy and can damage the mo- from most compressors is caused by including IGBTs, control an estimated
tor or other equipment on the circuit. slower power-electronics devices, which 50 to 60 percent of the electrical power
Before IGBTs, the motors used in, for can be switched on and off only at fre- generated in industrial countries. More-
example, heating, ventilating and air- quencies within the range of hearing. over, this percentage is growing, thanks
conditioning (HVAC) units were typi- IGBTs can do a lot more than control mostly to the success of IGBTs.
cally run at constant speed and merely motors. Some companies are now using As these devices begin dominating ma-
turned on and off at different intervals to them in the latest laptop-computer dis- jor parts of power electronics, they are
accommodate changes in ambient tem- plays, to turn picture elements on and finally uniting the two electronic revo-
perature. Efficiency under slack loads off. Telephone equipment manufactur- lutions that began half a century ago.
was poor. Adjustable-speed drives based ers are incorporating them into central IGBTs can use an electric current of just
on IGBTs offer far superior efficiency, office switches, to route signals by con- a few thousandths of an ampere to con-
which has been estimated to save mil- necting different circuits and also to ac- trol flows of, say, 100 amperes at 1,500
lions of barrels of oil every day, which tivate the circuit that sends the signal volts. And their ability to be controlled
also reduces pollution. These efficient that rings a telephone. One company by such minute currents enables IGBTs
HVAC controls have already been wide- has even used IGBTs to produce an ad- to be fabricated on the same semicon-
ly adapted in Japan and are increasing- vanced defibrillator, a lifesaving device ductor chip with the circuits that permit
ly popular in Europe and in the U.S. that delivers an electric shock to restart the IGBT to be controlled by micropro-
Another advantage of IGBTs stems the heart of a victim of cardiac arrest. cessors. To draw an analogy to physiol-
from their switching speeds: they are so IGBTs are also being used in the ballasts ogy, if the microprocessor and its asso-
fast that the pulses they generate can of fluorescent and arc-discharge lights, ciated memory chips are like the brain,

36 Scientific American: The Solid-State Century How the Super-Transistor Works


Copyright 1997 Scientific American, Inc.
IGBT (OFF) POLYSILICON IGBT (ON) MOSFET
GATE CONTROL N-CHANNEL
MOSFET VOLTAGE
OXIDE WORKING
INSULATOR CURRENT
TERMINAL

BIPOLAR
BIPOLAR WORKING
COLLECTOR CURRENT

MOSFET

JARED SCHNEIDMAN DESIGN


DRAIN

MOSFET
SUBSTRATE
IGBT
BIPOLAR
WORKING
BASE
MOSFET CURRENT
SOURCE

BIPOLAR
EMITTER
TERMINAL

trol voltage is applied to a MOSFET. It establishes a working the combined working currents of both the MOSFET and the
current, which in turn is appliedas a control currentto the bipolar. This ingenious configuration enables the devices to have
base of a p-n-p bipolar. This control current enables a larger a power gainthe ratio of the working current and voltage to
working current to flow in the bipolar. Because of the arrange- the control current and voltageof about 10 million. Such gain
ment of its components, the IGBTs working current is actually enables the devices to connect to microelectronic circuits.

IGBTs can be thought of as the muscles. and 500 volts. Current flows are rela- amperes. Such high-power IGBTs are
ever before have brains and brawn tively small (less than 10 amperes), so now controlling the motors of Japans
been so intimately connected. there is a strong thrust toward putting bullet trains, among other things.
the power-switching devices and the
Fluorescent Lights to Bullet Trains microelectronics that control them on Best of Both Worlds
the same chip.

A deeper understanding of the ascen-


dancy of IGBTs requires some per-
spective on power semiconductors. The
In the higher end of this middle range,
typical applications include motor con-
trols and robotics, which demand de-
I GBTs are a wonderful example of a
whole that is much more than the
sum of its parts. Each IGBT consists of
market might be broken down into three vices that can handle between 500 and two transistors: a MOSFET and anoth-
voltage categories: low, medium and 1,500 volts. IGBTs are especially attrac- er kind, known as bipolar. Bipolar tran-
high [see illustration on page 39]. The tive for robotics because the precise sistors are the simplest, most rugged type
first, comprising applications involving movement of platforms and arms can be of transistor, having evolved directly
less than 100 volts or so, includes auto- accomplished only with superior motor out of the pioneering work at Bell Tele-
motive electrical systems, certain pow- controls. An early implementation of phone Laboratories in the late 1940s.
er-supply circuits used in personal com- IGBTs in robotics was in General Mo- They can be designed to accommodate
puters, and audio-power amplifiers, torss Saturn plant in Tennessee. high power levels and can be switched
such as those used in stereo high-fidelity In the highest-voltage category are on and off at extremely high speeds.
systems. This segment of the market is applications in locomotive drives and in Unfortunately, they require a fairly sub-
dominated by a kind of device known electrical-power distribution and trans- stantial flow of electric current in order
as a metal oxide semiconductor field-ef- mission, including conversion between to control a larger current. (A more suc-
fect transistor (MOSFET), which might alternating-current and direct-current cinct way of saying this is that their
be thought of as todays ordinary, gar- electricity. Voltage ratings can exceed power gain is modest.) MOSFETs, on the
den-variety transistor. 5,000 volts, and the devices must be ca- other hand, are unable to handle high
The middle category of voltages is a pable of handling 1,000 amperes. A kind power levels but have fabulous gain.
wide one, ranging from 200 to about of semiconductor device known as a Through clever design, the IGBT com-
1,500 volts. This is the province of the thyristor is commonly used to handle bines the best features of these two dif-
IGBT. This category, moreover, can be such high voltages and currents. Yet ferent devices.
subdivided into two others. At the low- IGBTs have just recently begun captur- The way in which the IGBT accom-
er end, such devices as laptop-computer ing the lower end of this category, plishes this trick is rather impressive
displays, telecommunications switches thanks to the introduction, by several and the result of years of intensive re-
and lighting ballasts all generally require Japanese companies, of devices capable search at General Electrics research lab-
devices capable of handling between 200 of operating at 3,500 volts and 1,000 oratories. This achievement cannot be

How the Super-Transistor Works Scientific American: The Solid-State Century 37


Copyright 1997 Scientific American, Inc.
understood without some background that has been impregnated, or doped, fect, this field promotes the flow of cur-
on transistor operation and on the way with impurities to give it certain desired rent, because the electrons move through
in which the bipolar and MOSFET va- electrical properties. If the doping gives the collector under the influence of the
rieties work. the material an excess of mobile elec- field. As the voltage is increased, the
Transistors can be designed to operate trons, the material is called n-type. Con- field becomes more intense, until finally
as switches, blocking or permitting the versely, if the material has been doped the resistance offered by the depletion
flow of electric current, or as amplifiers, to have deficiencies of electrons (which region is overcome, and current flows
making a minute current much greater. are known as holes), it is designated p- across the junction. Thus, it is important
In power electronics, where engineers type. A bipolar transistor is created by to minimize this field, which is done by
are concerned mainly with switching, sandwiching three layers of these semi- making the collector relatively thick and
transistors are distinguished by the conductor types, in the order n-p-n or, doping it very lightly. With these tech-
amount of power they can control. alternatively, p-n-p. In other words, the niques, junctions have been made that
Electricity is analogous to liquid flow emitter can be n-type, in which case the can withstand the application of thou-
in a pipe. Just as hydraulic power is the base is p-type, and the collector is n-type. sands of volts.
product of pressure and volumetric flow In the n-p-n bipolar transistor, the In contrast to the thick, lightly doped
rate, electrical power is the product of working current flows from the emitter collector, the base in a bipolar transistor
voltage and current. Thus, the amount across the base to the collectorbut is thin and heavily doped. These fea-
of power that a transistor can control is only when the control current is flow- tures promote the diffusion of electrons
decided by its maximum operating volt- ing. When it flows, the control current through the base, which is needed to
age and current handling capability. In adds holes to the base, thereby attract- ensure good current-carrying capacity
its on state, the transistor allows cur- ing electrons from the emitter. When when the transistor is in the on state.
rent to flow through itself and be deliv- the control current stops, holes are no The ability to conduct large currents is
ered to the load, which might be a heat- longer added to the base, and the work- also necessary to ensure that the device
er, a motor winding or some other sys- ing current stops flowing. The operation has a sufficiently high power gain, which
tem. In the off state, the transistor of a p-n-p transistor is essentially identi- is defined as the ratio of the power be-
stops current flow by supporting a high cal to that of the more common n-p-n ing controlled, in the collector-emitter
voltage without letting current through. with one important difference: in the p- circuit, to the input power, in the base-
Transistors typically have three elec- n-p, the roles of electrons and holes are emitter circuit. The power being con-
trical leads, which are also called termi- reversed with respect to the n-p-n [see trolled (in the collector-emitter circuit)
illustration on preceding two pages]. is the product of the current carried by
the device in its on state and the voltage
Block That Current at the collector terminal when the de-
vice is in its off state.

T he ability of a transistor to prevent


current from flowing, even when a
high voltage is applied across its emitter
In a bipolar transistor, a base current
can control a current flow in the collec-
tor that is about 10 times greater. Typi-
and collector terminals, is one of the cally, the voltage on the collector is not
most basic requirements in power elec- quite 100 times that at the base, so bi-
tronics. This characteristic is achieved polar transistors operate at a power gain
by varying the size and dopant concen- of less than 1,000. One implication of
trations of the transistors regions, par- this modest gain is that at the kilowatt
HEARTSTREAM

ticularly the collector. levels of most power-electronics appli-


To understand how this feature is cations, the control circuit must be ca-
achieved, consider how a transistor pable of handling several watts. This
ADVANCED DEFIBRILLATOR based blocks the flow of current. Current is level of power in turn demands a rela-
on IGBTs delivers a heart-starting jolt blocked near the interface, or junction, tively complex and robust control cir-
through paddles applied to the chest. where p-type material and n-type mate- cuit. Moreover, for completely safe op-
rial meetfor example, at the junction eration, bipolar transistors must be used
nals. The relatively large working between the base and the collector. Sup- with other protective components.
current that flows to the load passes pose the relatively positive voltage is The success of the superior MOSFET
through the transistor between the ter- connected to the n-type material, and and IGBT devices is increasingly push-
minals connected to the parts of the the relatively negative voltage is con- ing bipolar transistors into what might
transistor referred to as the emitter and nected to the p-type material. The junc- be called niche markets. Still, thyristors,
the collector; in the MOSFET, these tion is said to be reverse biased, and it which actually comprise a pair of bipo-
parts are the source and the drain. The can block current from flowing. Spe- lar transistors, dominate the highest-
smaller control current, which turns cifically, the reverse biasing creates on voltage applications. Thyristors are
the working current on and off, flows either side of the junction so-called de- available that can support 6,000 volts
between the third part (the base, or gate pletion regions, where a lack of elec- in the off state and carry 1,000 amperes
in the MOSFET) and the emitter (or trons (holes) makes it impossible for cur- in the on state. In other words, a single
source). rent to flow. semiconductor devicea wafer 10 cen-
The emitter, base and collector are Working against this depletion region, timeters in diameteris capable of con-
separate sections of the transistor. Each which is blocking the flow of current, is trolling six megawatts of power! Un-
is made of a material, typically silicon, an electrical field in the collector. In ef- fortunately, the current gain of these de-

38 Scientific American: The Solid-State Century How the Super-Transistor Works


Copyright 1997 Scientific American, Inc.
TRACTION
vices is less than five, requiring an enor-
mous control current supplied by com-
1,000
plex, bulky and heavy control circuits
that make them inconvenient to use, for
example, in vehicles. In addition, the HVDC
maximum switching speed of these
thyristors is so slow that the system op- ELECTRIC CAR
COMPUTER
erating frequency is within the range of 100 POWER SUPPLIES

DEVICE CURRENT RATING (AMPERES)


human hearing, resulting in noise pollu-
tion that arises from vibrations in the FACTORY
motor windings. AUTOMATION
REFRIGERATOR

How MOSFETs Work


10

I n comparison with the bipolar tran-


sistor, the other component of the
IGBT, the MOSFET, operates on a rath-
AIR CONDITIONER

er different principle. An n-p-n MOS- AUTOMOTIVE


FET (more correctly termed an n-chan- 1
ELECTRONICS FLUORESCENT
LAMP BALLAST
nel MOSFET) has two n-type regions FOOD PROCESSOR
the source and the drainwhich are
analogous to the bipolar transistors HAND IRON
emitter and collector. In between the
source and drain is a p-type region, STEREO
TELECOMMUNICATIONS
called the substrate [see illustration on 0.1

JARED SCHNEIDMAN DESIGN


page 36].
On top of the substrate, which is
made of a silicon semiconductor mate- LAPTOP
DISPLAY
rial, is a nonconductive layer of silicon
dioxide; on top of this oxide layer is a
0.01
metal gate. (Hence the first three let- 10 100 1,000 10,000
ters of the acronym stand for metal ox- DEVICE BLOCKING VOLTAGE RATING (VOLTS)
ide semiconductor.) Normally, no charg-
es flow from the source through the VOLTAGE AND CURRENT RATINGS needed for different power transistor uses
substrate, immediately below the oxide vary considerably. The lowest-voltage applications (highlighted in pink) are still served
mostly by MOSFETs. On the other end, with the greatest voltage-blocking and cur-
layer, to the drain. When a positive volt-
rent-handling capabilities, are the thyristors used in high-voltage, direct-current
age is applied to the metal gate, howev- (HVDC) electrical transmission systems. The large set of intermediate applications
er, an electrical field is set up that pene- (blue) is increasingly dominated by IGBTs.
trates through the oxide layer and into
the substrate. (Hence the second three
letters of the acronym: field-effect tran- plementary metal oxide semiconductor 100 volts. Above this voltage level, the
sistor.) This field repels the positively (CMOS) technology developed in the electrical resistance inside the device be-
charged holes (electron deficiencies) in early 1970s for microelectronics. In gins to soar, severely limiting the cur-
the substrate, forcing them from the fact, CMOS technology now forms the rent that can be coaxed out of the drain.
gate. At the same time, it attracts the basic building block for all commercial-
electrons toward the substrate surface, ly available silicon integrated circuits. MOSFET + Bipolar = IGBT
just below the oxide layer. These mo- Although makers of power transistors
bile electrons then allow current to flow
through the substrate, just below the
oxide, between the drain and the source.
relied on bipolar technology at that time,
engineers realized that they could in-
crease the power gain of transistors by
I n the late 1970s, while I was working
for General Electrics research labora-
tory in Schenectady, N.Y., I had the
The most important aspect of the exploiting the MOS-gate structure. idea of integrating MOSFET and bipo-
MOSFETs operation is the fact that it This realization led to the develop- lar technologies into one device. With
is turned on and off with voltage, not ment of power MOSFETs in the 1970s the MOSFET controlling the bipolar, I
current. Current flow through the gate by International Rectifier Corporation reasoned, the integrated device could be
is limited to short, milliampere pulses in El Segundo, Calif. Besides having switched by tiny voltages and yet allow
that occur only when the transistor is higher power gain, the devices switched hundreds of amperes to flow through
turned on or off. (These pulses occur faster and did not require the cumber- it. Ultimately, this realization led to the
because the semiconductor substrate some protection circuits used with bi- IGBT, but the path was not direct.
and metal gate, separated by the oxide polar transistors. Though ideal in many The first MOS-bipolar power device I
layer, form a capacitor that causes tran- ways, power MOSFETs do have one built at GE, in 1978, was not an IGBT
sient currents when the capacitor charg- major drawback: their current-handling but rather a MOS-gated thyristor. This
es and discharges.) capability degrades rapidly when they device, which became a commercial
MOSFETs are an offshoot of the com- are designed to operate at more than product that is still available today, can

How the Super-Transistor Works Scientific American: The Solid-State Century 39


Copyright 1997 Scientific American, Inc.
base of the bipolar transistor that low
amplification (typically by a factor be-
tween one and two) suffices.
As mentioned previously, there are
small current transients when the IGBTs
MOSFET is switched on and off, re-
sulting in short pulses of current on the
order of milliamperes. This MOSFET is
controlled by voltages on the order of
10 volts, and the IGBT is capable of con-
trolling 1,500 volts and 100 amperes.
Using these values, it is possible to cal-
culate that the power gain of an IGBT
exceeds 10 million.
Such high gain not only enables the
IGBT to be controlled by relatively deli-
cate integrated circuits (ICs), it also per-
mits the inclusion of protection circuits
in the control IC to prevent destructive
failure. Such failures are a distinct pos-
sibility when the device is misusedfor
example, when it is operated beyond its

TIMOTHY ARCHIBALD
specified temperature, current capacity
or voltage level.
Another attribute of the IGBT is its
significantly higher operating current
density in the on state when compared
CENTRIFUGAL DOME holds eight silicon wafers, each of which will yield roughly with its two components, the bipolar
320 IGBTs. In a vacuum chamber, precious metals are sputtered onto the back of the
transistor and the MOSFET. Recall that
wafers to produce the conductive components of each device. The assembly was pho-
tographed at Motorolas MOS 4 power-transistor fabrication facility in Phoenix, Ariz. the current flowing in the channel of the
MOSFET is used as the input, or con-
trol, current for the bipolar. Because of
deliver a pulse of current from a capac- off state, the device must be able to sup- the way the two transistors are integrat-
itor to, for example, a gas discharge tube port a high voltage across its output ed together, the output current of the
of the kind used in photolithography terminals, the emitter and the collector. IGBT consists not just of the bipolars
tools. Engineers continue to work on In a conventional power transistor, this emitter-collector current, as might be
MOS-gated thyristors in hopes of pro- requirement is satisfied by making the expected, but of the sum of that current
ducing a device capable of replacing a collector thick and lightly doped. But a and the channel current in the MOSFET.
kind of thyristor, known as a gate-turn- thick collector and a thin base were These two currents are roughly equal
off thyristor, commonly used in the high- found to be impractical in the IGBT for (the gain of the bipolar is only about one
est power applications. reasons having to do with chip fabrica- or two), so the output current of the
In an IGBT, there is just one useful tion and performance limitations. IGBT is approximately twice that of ei-
bipolar transistor (as opposed to the pair Fortunately, it is a fact that the voltage ther of its components.
that comprise a thyristor), and it is a p- in a power transistor can be blocked by Another important feature that en-
n-p type. This p-n-p transistor is a rather making either the base or the collector hances the efficiency of the IGBT is its
unusual one in several respects. For one, thick and lightly doped. The reason the unusually low electrical resistance, in
the typical, commonly available bipolar collector is invariably made thick in a the on state, between its emitter and
power transistor is an n-p-n, not a p-n- conventional power transistor is that collector. This property comes from the
p. Moreover, the typical power transis- high current gain demands a thin, highly large concentration of electrons and
tor has a narrow base region and a thick, doped base. holes that are injected into the bipolars
lightly doped collector. As mentioned be- But what if we do not care about cur- wide, lightly doped base region from
fore, the thin base enables large currents rent gain in the bipolar transistor? I re- the adjacent emitter and collector dur-
to flow through it in the on state, where- alized that this is precisely the case with ing current flow. This flooding of charge
as the thick, lightly doped collector the IGBT, because it is the MOSFET, carriers increases the bases conductivi-
blocks current in the off state. with its huge current gain, that provides ty 1,000 times. Therefore, the power
In the p-n-p transistor in an IGBT, on the control current to the bipolar tran- losses inside the device are exceptionally
the other hand, the characteristics of base sistor. In other words, the two parts of low in comparison with ordinary MOS-
and collector are reversed: the base is an IGBT are integrated together in such FETs or even bipolars. For any particu-
thick and lightly doped; the collector is a way that the channel current flowing lar application, this feature translates
relatively thin and very highly doped. in the substrate of the MOSFET is also into a proportionate reduction in chip
How is this reversal possible? Think the current that is applied to the base of area, which in turn leads to a substan-
back to the key requirements of a power the bipolar power transistor. Thus, so tial reduction in the cost for manufac-
semiconductor device. One is that in the much current is being provided to the turing the device.

40 Scientific American: The Solid-State Century How the Super-Transistor Works


Copyright 1997 Scientific American, Inc.
The main difficulty with introducing More efficient control of proved by the U.S. Food and Drug Ad-
an IGBT commercially was the exis- ministration in 1996, is starting to re-
tence in the device of a so-called para- electrical power, through place the larger units now carried in
sitic thyristor. This thyristor arises from emergency vehicles and on commercial
the presence of four adjacent semicon- the use of IGBTs, will airliners. Last July, for example, Ameri-
ductor layers, alternately p-type and n- can Airlines announced that it had
type. These layers form two de facto enhance living standards. equipped 247 of its aircraft with the
bipolar transistors (one n-p-n and one Heartstream units. The American Heart
p-n-p) with a common collector junc- Association estimates that 100,000 lives
tion that enables them to feed back be- could be saved in the U.S. if defibrilla-
tween each other. The condition leads tors were more widely available.
to destructive failure of the device. The vert the direct current of their batteries IGBTs already have many other med-
problem was solved through a combi- to alternating current for the motor with ical uses, albeit less dramatic ones. They
nation of structural innovations, in- IGBT-based systems, called inverters. are an essential component of the unin-
cluding the addition of another highly IGBTs are also used to convert alternat- terruptible power supplies used in hos-
doped p-type region under the MOS- ing current to direct current to recharge pitals to ensure fail-safe operation of
FETs n-type source region. the batteries; this conversion must be medical equipment during brief power
highly and precisely regulated to avoid outages. In addition, IGBTs drive the
IGBTs on the Move damaging the battery electrodes. motors in computed tomographic (CT)
Cars and trains are not the only elec- scanners for the precise movement of

T he rapid adoption of IGBTs


throughout most of the various cat-
egories of power electronics shows no
tric vehicles that will benefit from the
precision and power of IGBTs. As part
of an effort to reduce urban pollution,
the x-ray unit to produce sectional im-
ages of a body.
Applications such as these are good
sign of slowing down. One category with the Shanghai Energy Commission in examples of technology doing what it is
plenty of room for expansion is trans- China will produce 150,000 electric mo- supposed to do: serve humanity. At the
portation. In addition to the benefits of peds in 1998 while restricting the sale of same time, the uses all point to a won-
smaller size and weight for these trans- gasoline-powered models. The wide- derful, uncommon occurrencethe
portation systems, IGBT-based power spread introduction of these electric vehi- coming together of two technologies to
electronics are capable of operating at a cles will demand an effective means for accomplish what neither could do by it-
higher frequency. Several Japanese com- charging the batteries either rapidly at self. The invention of the transistor and
panies, including Fuji Electric, Mit- roadside stations or overnight at home. its miniaturization have led to complex
subishi Electric, Hitachi and Toshiba, Perhaps the most gratifying use of the integrated circuits that can be used to
have shown that this higher-frequency IGBT will be in the saving of thousands process information in digital form.
operation makes for a smoother ride of lives every day around the world. Ev- The transistor triggered the first elec-
and a quieter passenger cabin. Plans to ery year more than 350,000 people die tronic revolution, which has brought us
implement IGBT-based electric street- of sudden cardiac arrest in the U.S. alone into the information age. Yet the effi-
cars and locomotives are under way in because the only effective treatment, an cient and effective control and utiliza-
Europe; the corresponding IGBT devel- external defibrillator, is not immediate- tion of electrical power are essential for
opments are going on at ABB Corpora- ly accessible. The size and weight of these enhancement of our living standards by
tion and Siemens. systems, which deliver an electric shock giving us better control over our appli-
Electric and hybrid-electric automo- to restart the patients heart, have been ances, our living environments and our
biles are the subject of intense develop- significant stumbling blocks to their wid- vehicles. The invention and rapid com-
ment lately, as a consequence of con- er deployment. Now, however, a Seat- mercialization of the IGBT have played
cerns about the environmental pollu- tle-based medical concern called Heart- a major role in making that control
tion resulting from gasoline-powered stream is marketing a compact, light- possible. The second electronic revolu-
internal-combustion engines. Most elec- weight defibrillator based on IGBTs. tion is upon us, and before it is over we
tric and hybrid cars condition and con- Heartstreams system, which was ap- will all benefit from it. SA

The Author
B. JAYANT BALIGA is director of North Carolina State Universi- ment Center in Schenectady, N.Y. He has been a professor of electri-
tys Power Semiconductor Research Center, which he founded in cal engineering at North Carolina State since 1988. His current re-
1991. From 1979 to 1988 he was manager of power-device devel- search interest lies in developing silicon and silicon-carbide-based
opment at the General Electric Corporate Research and Develop- power semiconductor devices.

Further Reading
Evolution of MOS-Bipolar Power Semiconductor Tech- Power Semiconductor Devices. B. Jayant Baliga. PWS Publish-
nology. B. Jayant Baliga in Proceedings of the IEEE, Vol. 76, ing, Boston, 1996.
No. 4, pages 409418; April 1988. Trends in Power Semiconductor Devices. B. Jayant Baliga in a
Power ICs in the Saddle. B. Jayant Baliga in IEEE Spectrum, special issue of IEEE Transactions on Electron Devices, Vol. 43,
Vol. 32, No. 7, pages 3449; July 1995. No. 10, pages 17171731; October 1996.

How the Super-Transistor Works Scientific American: The Solid-State Century 41


Copyright 1997 Scientific American, Inc.
In a solid-state
world, vacuum
WHERE TUBES RULE
tubes are still
secure within by Michael J. Riezenman

some very
interesting
strongholds
P assion and cult arent words one nor-
mally associates with electrical engi-
neering, but they do come into play the
minute tubes are mentioned.
Vacuum tubes, that is to say. Audio tubes, to be
Although the evidence today seems to suggest
that DeForest had only a slight appreciation of
what he had wrought, after much experimenta-
tion, Armstrong did. In a seminal moment in elec-
tronics history, he coupled the tubes output cir-
precise. cuit back to its input to boost its feeble gain,
For the uninitiated, which you almost certainly thereby inventing the positive feedback circuit.
are if youre younger than 40 years of age, vacu- Over time, thousands of different tubes were
um tubes were the active electronic devices used developed, from subminiature devices the size of
by primitive peoples before transistors and inte- a cigarette filter to the hefty units still used in
grated circuits were invented. In fact, in the early high-power radio transmitters, radar and indus-
part of this century, the very word electronics trial heating equipment. In addition to triodes,
referred to a branch of physics concerned with engineers came up with tetrodes, pentodes and
the behavior of electrons in a vacuum. other tubes with multiple-grid electrodes.
Devised in 1904 by John Ambrose Fleming, the Small receiving tubes, of the kind found in
first tubes (or valves, as the British tabletop radios by the millions between about
call them) were simple diodes, 1920 and 1960, have now been almost complete-
which permitted electric current ly displaced by transistors, which seem to last for-
to flow in only one direction. ever. They require neither high voltages nor
Electronics really took off around warm-up time, lend themselves to real miniatur-
1912, when Edwin Howard Arm- ization and use far less power.
strong figured out how to build
useful amplifier and oscillator cir- Pleasure and Passion
cuits with the audion tube, in-
vented six years earlier by Lee De o pervasive have transistors become that few
Forest. By inserting an electrode
known as a grid between the di-
S people today even think about tubes in the
context of home audio equipment. There exists,
odes other two electrodes, known however, a small but passionate minority that be-
as the cathode and anode, De lieves that the best transistor-based amplifiers
Forest created a controllable de- cannot re-create a piece of music as pleasingly as
vice in which small changes in can a properly designed amplifier built around
the voltage on the grid resulted vacuum triodes. Pleasing, of course, is a subjec-
in larger changes in the current tive word, and that is where the passion comes in.
flowing between the cathode As explained by Kevin M. Hayes, founder and
and the anode. Such a three- president of Valve Amplification Company in
electrode tube is called a triode. Durham, N.C., a manufacturer of tube-based au-
dio amplifiers, the case for tubes begins with the
realization that industry-standard laboratory
RADIO-FREQUENCY measurements of amplifier performance do not
TRANSMITTING TUBES adequately answer fundamentally subjective
such as the 845 (left) and the questions such as Is this amplifier better than
811A (above, right) were used that one? The problem, he says, is that the work-
as power-amplifying devices in ings of the ear and brain are not understood well
1940s-era amateur radio and enough to identify the necessary and sufficient
broadcast transmitters. In the
set of measurements for answering the question.
Back in the 1930s and 1940s, total harmonic
811A, as in many transmitting
distortion became a widely accepted parameter
types, a high, direct-current for describing amplifier imperfections. All ampli-
voltage is applied through a fiers create spurious, ostensibly unwanted signals
plate cap on top of the tube at frequencies that are some whole-number mul-
and modulated inside the tube tiple of the signal being amplified. Thus, a second-
by an input signal. order harmonic distortion consists of stray signals

44 SCIENTIFIC AMERICAN: THE SOLID-STATE CENTURY Where Tubes Rule


Copyright 1997 Scientific American, Inc.
at exactly twice the frequency of the ampli- interest, the controversial audio mar-
fied signal. Because all amplifiers of that era ket is a relatively tiny part of the tube
were based on tubes with similar kinds of business, which is far larger than
nonlinearities, they all tended to generate most people imagine. Tubes are still
harmonic distortion of the same kind, and a playing a major role in high-frequen-
single number representing the total har- cy, high-power applications. In gen-
monic distortion was a valid tool for compar- eral, at almost any frequency there is
ing them. It correlated well with the subjec- a power level above which it makes
tive listening experience. more sense to use a tube rather than
Those tube amplifiers generated mainly an array of transistors as the final
second-order harmonics plus small amounts power amplifier.
of other low-order even harmonics (fourth, Microwave ovens are a good case
sixth and so on). Second-order harmonic dis- in point. They need to put out a few
tortion, for that matter, is difficult for a human hundred watts at two or three giga-
being to detect. Moreover, what can be heard hertz, a requirement easily satisfied
tends to sound pleasant. by a kind of tube known as a mag-
Transistor amplifiers, in contrast, generate netron, which costs about $18 to $25
higher-order harmonics (ninth, tenth, elev- in quantity. These microwave oven
enth and so on), which are much easier to magnetrons descended from those
hear. Worse, the odd-order ones sound bad. used since the earliest days of radar,
So it is possible to have a transistor amplifier during World War II. (Remarking on
whose total harmonic distortionas mea- the importance of radar during the
sured by laboratory instrumentsis signifi- war, Winston Churchill once described
cantly lower than that of a comparable tube an early magnetron as the most valu-
amplifier but that nonetheless sounds worse. able cargo ever to cross the Atlantic
To make a long story short, total harmonic Ocean.)
distortion is not a particularly good way to Radio transmitters are another
compare amplifiers based on fundamentally point of interest in tube country. In
different technology, and it is not clear what building power amplifiers for AM ra-
isother than listening to them, of course. dio transmitters, where the goal is to
The debate can get quite heatedand not generate 25 or 50 kilowatts at one or
a little confusingbecause the performance two megahertz, the tendency today
of an amplifier depends as much on the de- is to go solid-state. For ultrahigh-fre-
tails of its circuit design as on its principal ac- quency transmitters, which operate
tive devices (tubes or transistors). For exam- above 300 megahertz, tubes still
ple, using the feedback configuration in an reign supreme.
amplifier circuit can reduce total distortion State-of-the-art communications
levels, but at a price: an increased percentage satellites, too, are typically tube-equipped. In-
of those easily perceived, higher-order har- telsatthe international consortium that op-
monics. According to Hayes, transistor ampli- erates about half the worlds commercial
fiers need more feedback than amplifiers communications satellitesemploys both
based on vacuum triodes, which he believes solid-state and tube-based power amplifiers
to be the most optimal audio-amplifying de- in its Series VIII satellites, which are just now

PHOTOGRAPHS BY CORDERO STUDIOS


vices. (Hayess favorite triode is the 300B, de- going into service. Their Ku-band transmit-
veloped at Western Electric in 1935.) ters, which work at around 12 gigahertz and
generate fairly narrow spot beams of
Tube Strongholds ground coverage, use amplifiers based on so-
called traveling wave tubes. The lower-fre-
hen there are the cultists who not only quency C-band transmitters operate at about
T prefer tube-based audio equipment but
insist that single-ended amplifiers are superi-
five gigahertz and use both technologies, de-
pending on how much power they are in-
or to push-pull units. In the latter, pairs of out- tended to deliver. Below about 40 watts, they
put tubes are arranged in a circuit that tends use arrays of gallium arsenide field-effect
to cancel even-order distortion. Single-ended transistors. Above that level, its traveling
outputs, lacking that cancellation, can have wave tubes. MICHAEL J. RIEZENMAN is
as much as 15 percent total harmonic distor- Although predicting the future is a notori- senior engineering editor of
tion, mostly second order. Though readily de- ously tricky business, especially when it comes IEEE Spectrum magazine. Dur-
tectable, the effect is not unpleasant, tending to electrotechnology, it seems safe to say that ing the 1960s, as an electrical
to add richness and fullness to the repro- tubes will be with us for a long time. Undoubt- engineer with ITT Defense
duced sound. According to Hayes, it was used edly, the frequency and power levels that can Communications, he designed
deliberately by manufacturers to improve the be handled by solid-state amplifiers will keep control circuitry for use in com-
tinny sound quality of 1940s radios. climbing. But the infinite space above them munications satellites.
Fraught as it is with human and technical will almost certainly remain tube territory. SA

Where Tubes Rule SCIENTIFIC AMERICAN: THE SOLID-STATE CENTURY 45


Copyright 1997 Scientific American, Inc.
As the transistor has grown smaller and cheaper,
engineers have scoffed at theoretical
barriers to its progressso far

THE FUTURE
OF THE TRANSISTOR
by Robert W. Keyes

I am writing this article on a computer that contains some


10 million transistors, an astounding number of manu-
factured items for one person to own. Yet they cost less
than the hard disk, the keyboard, the display and the cabinet.
Ten million staples, in contrast, would cost about as much as
the entire computer. Transistors have become this cheap be-
cause during the past 40 years engineers have learned to etch
ever more of them on a single wafer of silicon. The cost of a
given manufacturing step can thus be spread over a growing
number of units.
How much longer can this trend continue? Scholars and
industry experts have declared many times in the past that some
physical limit exists beyond which miniaturization could not
go. An equal number of times they have been confounded by
the facts. No such limit can be discerned in the quantity of tran-
sistors that can be fabricated on silicon, which has proceeded
through eight orders of magnitude in the 50 years since the
transistor was invented [see box on pages 50 and 51].
I do not have a definitive answer to the question of limits. I
do, however, have some thoughts on how the future of solid-
state electronics will develop and what science is needed to
support continuing progress.
Several kinds of physical limitations might emerge as the size
of the transistor continues to shrink. The task of connecting
minute elements to one another might, for example, become
impossible. Declining circuit size also means that researchers
must cope with ever stronger electrical fields, which can affect
the movement of electrons in many ways. In the not too distant
future the transistor may span only hundreds of angstroms. At
that point, the presence or absence of single atoms, as well as
their behavior, will become significant. Diminishing size leads to
increasing density of transistors on a chip, which raises the
amount of waste heat thrown off. As the size of circuit elements
drops below the wavelength of usable forms of radiation, exist-

MINIATURIZATION has made transistors cheaper than sta-


ples by spreading manufacturing costs over millions of devices
on each of the hundreds of chips on a wafer. This worker holds
a nearly completed wafer. Its components will be connected by
the condensation of metal in a vacuum chamber (foreground).

46 Scientific American: The Solid-State Century The Future of the Transistor


Copyright 1997 Scientific American, Inc.
ing manufacturing methods may reach tion. They control the way that the in- the semiconductor and the gate insulator.
their limits. puts are transformed to become outputs, These electrons then establish a connec-
To see how such problems might arise such as a word in a document or an entry tion between the source and drain elec-
and how they can be addressed, it is useful in a spreadsheet. trodes that allows current to be passed
to review the operation of the field-effect between them. At this point, the transis-
transistor, the workhorse of modern data From Source to Drain tor is on. The connection persists for
processing. Digital computers operate by as long as the positive charge remains on
manipulating statements made in a bina-
ry code, which consists of ones and ze-
roes. A field-effect transistor is operated
T he field-effect transistor contains a
channel that interacts with three
electrodes: a source, which supplies elec-
the gate. An incoming signal is applied
to the gate and thus determines whether
the connection between source and drain
so that, like a relay, it is switched only trons to the channel; a drain, which re- is established. If a connection results, the
on or off. The device therefore rep- ceives them at the other side; and a gate, output is connected to the ground poten-
resents exactly one binary unit of infor- which influences the conductivity of the tial, one of the standard digital voltages.
mation: a bit. In a large system, input channel [see illustration on next page]. If no connection results, the output is
signals control transistors that switch Each part contains different impurity connected through the resistor to the
signal voltages onto output wires. The atoms, or dopants, which modify the positive power supply, the other standard
wires carry the signals to other switches electrical properties of the silicon. digital voltage.
that produce outputs, which are again The gate switches the transistor on Circuits of transistors must be oblivi-
sent on to another stage. The connections when a positive voltage applied to it at- ous to the operations of neighboring ar-
within the computer determine its func- tracts electrons to the interface between rays. Existing concepts of insulation,

IBM CORPORATION

The Future of the Transistor Scientific American: The Solid-State Century 47


Copyright 1997 Scientific American, Inc.
impedance and other basic electrical It has only recently the quest for high speed, and electrical
properties of semiconductors and their fields are usually close to those that can
connections should work well enough, been taken for granted cause such avalanches.
for designers purposes, in the next gen-
eration of devices. It is only when con- that anyone can Tricks and Trade-offs
ducting areas approach to within about
search for references
100 angstroms of one another that quan-
tum effects, such as electron tunneling,
threaten to create problems. In labora-
to anything from W orkers resort to a variety of tricks
to mitigate the effects of strong
electrical fields. They have designed field-
tory settings, researchers are already at kiwifruit to quantum effect transistors, for example, in which
the brink of this limit, at about 30 the field can be moved to a place where
angstroms; in commercial devices, per- physics. it does not disrupt other electronic func-
haps a decade remains before that limit tions. This stratagem is just one of many,
is reached. all of which entail trade-offs with other
Another challenge is the strengthen- desired characteristics, such as simplici-
ing of the electrical field that inevitably ty of design, ease of manufacture, relia-
accompanies miniaturization. This ten- Even cooling cannot end the problem bility and long working life.
dency constrains the design of semicon- of the electrical field. Signals must still Miniaturization also increases the heat
ductor devices by setting up a basic con- have the minimum voltage that is char- given off by each square centimeter of
flict. Fields must continually get stronger acteristic of a semiconductor junction. silicon. The reason is purely geometric:
as electron pathways shrink, yet voltag- In silicon this electrical barrier ranges electrical pathways, and their associat-
es must remain above the minimum between half a volt and a volt, depend- ed energy losses, shrink in one dimen-
needed to overwhelm the thermal ener- ing on the degree of doping. That small sion, whereas chip area shrinks in two.
gy of electrons. In silicon at normal op- voltage, applied over a very short dis- That relation means that as circuits get
erating temperatures, the thermal volt- tance, suffices to create an immensely smaller, unit heat generation falls, albeit
age is 0.026 electron volt. Therefore, strong electrical field. As electrons move more slowly than does the number of
whenever a semiconductor is switched through such a field, they may gain so units per square centimeter.
so as to prevent the passage of electrons, much energy that they stimulate the cre- Devices already pour out as much as
its electrical barrier must be changed by ation of electron-hole pairs, which are 30 watts per square centimeter, a radi-
a factor several times as large. One can themselves accelerated. The resulting ance that one would expect of a materi-
minimize the thermal problem by chill- chain reaction can trigger an avalanche al heated to about 1,200 degrees Cel-
ing the chip (which becomes an expen- of rising current, thereby disrupting the sius (this radiance value is about 10 times
sive proposition). circuit. Todays chips push the limits in that of a range-top cooking surface in the
home). Of course, the chips cannot be
allowed to reach such temperatures, and
so cooling systems remove heat as fast as
GATE
it is produced. A variety of cooling tech-
nologies have been devised, including
some rather intense ones. But the cost
INSULATOR DRAIN of using them in transistor circuits in-
creases rapidly when the density of heat
increases.
The exigencies of manufacturing im-
pose constraints on the performance of
electronic devices that might not be ap-
parent from a purely theoretical discus-
sion. Low-cost manufacturing results in
small differences among the devices that
are made on each wafer, as well as among
those that are fabricated on different
wafers. This variability cannot be ban-
ishedit is inherent in the way solid-state
SOURCE
devices are made.
CHANNEL A semiconducting material, such as
JOHNNY JOHNSON

silicon, is made into a transistor in an


integrated process involving many steps.
SILICON WAFER ELECTRON Templates, called masks, are applied to
MOVEMENT
the silicon in order to expose desired ar-
FIELD-EFFECT TRANSISTOR, the workhorse of data processing, is built as a sand-
eas. Next, various operations involving
wich of variously doped silicon layers. It contains a channel, a source, a drain and an chemical diffusion, radiation, doping,
insulated gate. When a positive voltage is applied to the gate, electrons move near the sputtering or the deposition of metal act
insulation, establishing a connection underneath it that allows current to pass from on these areas, sometimes by construct-
source to drain, switching the transistor on. ing device features, other times by erect-

48 Scientific American: The Solid-State Century The Future of the Transistor


Copyright 1997 Scientific American, Inc.
ing scaffolding to be used in succeeding signers must worry about the aspect ra- +
steps and then torn down. Meanwhile tiothat is, the relation of depth to sur-
other devicesresistors, capacitors and face area. The devices and connections
conductorsare being built to connect on chips are built up in the silicon and on
the transistors. the surface as a series of layers resem-

Variations intrude at every step. For bling a sandwich. Part of the art of mak-
BISTABLE
example, perfect focusing of the source ing devices smaller comes from using DEVICE
of radiation over a large wafer is hard to more layers. But the more layers there
achieve. The temperature of the wafer are, the more carefully controlled each
may vary slightly from one place to an- must be, because each is affected by what
other during processing steps, causing a is beneath it. The number of layers is
difference in the rate of chemical reac- limited by the costs of better control and
tions. The mixing of gases in a reaction more connections between layers. STABLE
chamber may not be perfect. For many The formulas that are used to design STATE #1
reasons, the properties of devices on a large devices cannot be used for the tiny
given wafer and between those on dif- transistors now being made in laborato-
ferent wafers are not identical. Indeed, ries. Designers need to account for exot- STABLE

CURRENT
STATE #2
some devices on a wafer may be no good ic new phenomena that appear in such
at all; the proportion of such irremedia- extremely small devices. Because the ef-

JOHNNY JOHNSON
ble errors places a practical limit on the fects cannot be accurately treated by
size of an integrated circuit. purely analytic methods, the designers
A certain amount of fuzziness is in- must have recourse to computer models
herent in optical exposures. The light that are able to simulate the motion of VOLTAGE
used in photolithography is diffracted as electrons in a device.
it passes through the holes in the tem- A computer follows a single electron BISTABLE CIRCUIT does the transis-
plate. Such diffraction can be minimized through a device, keeping track of its po- tors job by exploiting nonlinear effects. A
device such as a tunnel diode is placed at
by resorting to shorter wavelengths. sition as time is increased in small steps.
the junction of two main electrodes and a
When photolithographic fabrication Physical theory and experimental infor- minor one (top). If the minor electrode in-
was invented in the early 1970s, white mation are used to calculate the proba- jects some extra current, the circuit will
light was used. Workers later switched bility of the various events that are possi- move from one stable state to the other
to monochromatic laser light, moving ble. The computer uses a table for the (bottom). Such devices are impractical be-
up the spectrum until, in the mid-1980s, probabilities, stored in its memory, and cause they cannot tolerate much variation
they reached the ultraviolet wavelengths. a random number generator to simulate in signal strength.
Now the most advanced commercial the occurrence of these events. For ex-
chips are etched by deep ultraviolet light, ample, an electron is accelerated by an
a difficult operation because it is hard to electrical field, and the direction of its pen in small spaces during short periods.
devise lasers with output in that range. motion might be changed by a collision To refine these models, researchers need
The next generation of devices may re- with an impurity. Adding the results of to carry out experiments on femtosecond
quire x-rays. Indeed, each generation of thousands of electrons modeled in this timescales.
circuitry requires manufacturing equip- fashion gives a picture of the response of
ment of unprecedented expense. the device. Remaining Unknowns
Other problems also contribute to the Consider the seemingly trivial ques-
cost of making a chip. The mechanical
controls that position wafers must be-
come more precise. The clean rooms
tion of how to represent the motion of
an electron within an electrical field.
When path lengths were comparative-
E xpanded knowledge of solid-state
physics is required, because as chips
grow more complex they require more
and chambers must become ever clean- ly long, an electron quickly accelerated fabrication steps, and each step can in-
er to ward off the ever smaller motes to the point at which collisions robbed fluence the next. For instance, when
that can destroy a circuit. Quality-con- it of energy as fast as the field supplied doping atoms are introduced into a crys-
trol procedures must become even more new energy. The particle therefore tal, they tend to attract, repel or other-
elaborate as the number of possible de- spent most of its time at a constant ve- wise affect the motion of other dopants.
fects on a chip increases. locity, which can be modeled by a sim- Such effects of dopants on other dopants
ple, linear equation. When path are not well understood; further experi-
Device Sandwich lengths became shorter, the electron no ments and theoretical investigations are
longer had time to reach a stable ve- therefore needed. Chemical reactions

M iniaturization may at first glance


appear to involve manipulating
just the width and breadth of a device,
locity. The particles now accelerate all
the time, and the equations must ac-
count for that complication.
that take place on the surface of a silicon
crystal demand a supply of silicon atoms,
a kind of fluid flow within the solid lat-
but depth matters as well. Sometimes the If such difficulties can arise in model- tice; does such motion carry other con-
third dimension can be a valuable re- ing a well-understood phenomenon, stituents along with it? These questions
source, as when engineers sink capacitors what lies ahead as designers probe the did not concern designers of earlier gen-
edgewise into a chip to conserve space on murky physics of the ultrasmall? Simu- erations of chips, because existing tran-
the surface. At other times, the third di- lations can be no better than the models sistors were then large enough to swamp
mension can constrain design. Chip de- that physicists make of events that hap- such ultramicroscopic tendencies.

The Future of the Transistor Scientific American: The Solid-State Century 49


Copyright 1997 Scientific American, Inc.
The Shrinking Transistor

M iniaturization is manifest in this comparison between an electromechanical switch, cir-


ca 1957 (background), and a chip containing 16 million bits of memory (foreground).
Progress appears in these snapshots (below, left): Bell Laboratoriess first transistor; canned
transistors; salt-size transistors; a 2,000-bit chip; a board with 185,000 circuits and 2.3
megabits of memory; and a 64-megabit memory chip. R.W.K.

1010

JOHNNY JOHNSON
108
TRANSISTORS
PER CHIP

106
104
102
101
1960 1980 2000
YEAR

The first transistor


1948

Early commercial transistors


1958
IBM CORPORATION (photographs)

Switches, now and then

Salt-size transistors
1964

50 Scientific American: The Solid-State Century The Future of the Transistor


Copyright 1997 Scientific American, Inc.
The prospect of roadblocks aside, the voltages, there is a sense in which gain
transistor has only itself to blame for is achieved. Yet the gain is far less useful
speculation about alternative technolo- than that provided by an ordinary tran-
gies. Its extraordinary success in the 1950s sistor because it operates within rather
stimulated an explosive development of narrow tolerances. A bistable switch
solid-state physics. In the course of the thus performs deceptively well in the lab-
work, investigators discovered many oth- oratory, where it is possible to fine-tune
er phenomena, which in turn suggested a the circuit so it stays near enough to the
host of ideas for electronic devices. Sev- crossover point. A collection of such
eral of these lines of research produced switches, however, does not lend itself to
respectable bodies of new engineering such painstaking adjustments. Because
knowledge but none that led to any- not all the circuits will work, no com-
thing capable of even finding a niche in plex device can be based on their opera-
Early integrated circuit information processing. tion. Negative resistance therefore plays
Some workers have argued that the no role in practical data processing.
1973
transistor owes its preeminence to hav- The same difficulty has plagued the
ing been the first off the block. Because development of nonlinear optical de-
of that head start, semiconductors have vices, in which the intensity of optical
been the center of research, a position beams replaces the currents and volt-
that guarantees them a margin of tech- ages of electrical circuits. Here, too, the
nological superiority that no rival can operation depends on fine-tuning the
match. Yet I believe the transistor has system so that a small input will upset a
intrinsic virtues that, in and of themselves, delicate balance. (Such switches have oc-
could probably preserve its dominant casionally been termed optical transis-
role for years to come. tors, a label that misconstrues the princi-
I participated, as a minor player, in ples of transistor action.)
some of the efforts to build alternative Optical switches face a problem even
switches, the repeated failures of which more fundamental. Light, unlike elec-
made me wonder what was missing. Of tricity, hardly interacts with light, yet
course, quite a few new fabrication meth- the interaction of signals is essential for
ods had to be developed to implement logic functions. Optical signals must
a novel device concept. But even though therefore be converted into electrical
these could be mastered, it was difficult ones in a semiconductor. The voltage
to get a large collection of components thus produced changes the optical re-
to work together. sponse of another material, thereby
What gave the transistor its initial, modulating a beam of light.
sudden success? One difference stood
out: the transistor, like the vacuum tube Useful Interference
before it, has large gain. That is, it is ca-

Circuit assembly
pable of vastly amplifying signals of the
kind processed in existing circuits, so
that a small variation in input can pro-
A nother proposed switch, sometimes
called a quantum interference de-
vice, depends on the interference of
1985
duce a large variation in output. Gain waves. In the most familiar case, that of
makes it possible to preserve the integri- electromagnetic radiation, or light, one
ty of a signal as it passes through many wave is divided into two components.
switches. The components begin oscillating in
Rivals to the transistor may have been phasethat is, their peaks and troughs
equally easy to miniaturize, but they ex- vibrate in tandem. If the components
hibited far less gain. Take, for instance, follow routes of different lengths before
bistable devices [see illustration on page reuniting, the phase relation between
49], which perform logic functions by their waveforms will be changed. Con-
moving between two stable states that sequently, the peaks and troughs either
are separated by an unstable transition. cancel or reinforce one another, produc-
Researchers have produced such a tran- ing a pattern of bright and dark fringes.
sition by designing circuits having a The displacement of the fringes measures
range of values in which current declines the relative phase of the system.
as voltage increases. Any slight distur- Electrons also possess a wave nature
bance, such as that obtained by inject- and can be made to interfere. If the two
ing extra current through the device, will components of a wave move at equal
switch the circuit between its two sta- speeds over similar paths to a rendez-
Dynamic random-access memory chip
ble states. vous, they will reconstitute the original
1997
Because this slight input can bring wave; if they move at different speeds,
about large changes in the current and they will interfere. One can manipulate

The Future of the Transistor Scientific American: The Solid-State Century 51


Copyright 1997 Scientific American, Inc.
reexamination was occasioned by the in-
troduction of new semiconductor materi-
als, such as gallium arsenide and related
compounds, several of which may even
be incorporated to achieve some desired
characteristic in a single device. These
combinations may be used to produce
what are called heterojunctions, in which
crystalline lattices of different energy
gaps meet. Lattices may mesh imperfect-
ly, creating atomic-scale defects, or they
may stretch to one another, creating an
elastic strain. Either defects or strain can
produce electrical side effects.
These combinations complicate the
physics but at the same time provide a
variable that may be useful in surmount-
ing the many design problems that minia-
turization creates. For instance, the dop-
ants that supply electrons to a semicon-
ductor also slow the electrons. To reduce
this slowing effect, one can alternate lay-
ers of two semiconductors in which elec-

IBM CORPORATION
trons have differing energies. The dop-
ants are placed in the high-energy semi-
conductor, but the electrons they donate
immediately fall into the lower-energy
IMMENSE AND DENSE: this active-matrix liquid-crystal panel shows that todays layers, far from the impurities.
electronic structures can achieve great complexity over large areas. Each liquid-crystal What, one may ask, would one want
pixel is controlled by its own transistor, providing extraordinary resolution. with a technology that can etch a mil-
lion transistors into a grain of sand or
put a supercomputer in a shirt pocket?
the velocity of one wave by applying a ence device will not restore the binary The answer goes beyond computational
tiny electrical field to its pathway. The nature of a degraded input signal but will power to the things such power can buy
correct field strength will cause the waves add its own measure of noise. Data pass- in the emerging information economy. It
to cancel so that no current can flow ing from one such device to another will has only recently been taken for granted
through the device. quickly degenerate into nothingness. that anyone with a personal computer
At first sight, this action duplicates a and a modem can search 1,000 newspa-
field-effect transistor, which uses an elec- The Only Game in Town pers for references to anything that
trical field to control a current through comes to mind, from kiwifruit to quan-
a semiconductor. In an interference de-
vice, however, conditions must be just
right: if the applied voltage is too high
T he lack of real rivals means that the
future of digital electronics must be
sought in the transistor. The search be-
tum physics. Will it soon be possible for
every person to carry a copy of the Li-
brary of Congress, to model the weath-
or too low, there will be some current. gins anew with each voyage into a small- er, to weigh alternative business strate-
This sensitivity means that an interfer- er scale or a different material. The latest gies or to checkmate Garry Kasparov? SA

The Author
ROBERT W. KEYES is a research staff member at the IBM patents. A native of Chicago, Keyes studied at the University of
Thomas J. Watson Research Center in Yorktown Heights, N.Y. His Chicago, where he earned a doctorate in physics. He is an active
interests have focused on semiconductor physics and devices and on participant in the programs of the National Research Council, the
the physics of information-processing systems, subjects on which he American Physical Society and the Institute of Electrical and Elec-
has written and lectured widely; he has also received eight issued tronics Engineers.

Further Reading
Field-Effect Transistors in Integrated Circuits. J. T. Wall- 119121; October 1989.
mark and L. G. Carlstedt. John Wiley & Sons, 1974. Limitations, Innovations, and Devices into a Half Micro-
The Physics of VLSI Systems. R. W. Keyes. Addison-Wesley Pub- meter and Beyond. M. Nagata in IEEE Journal of Solid-State
lishing, 1987. Circuits, Vol. 27, No. 4, pages 465472; April 1992.
Can We Switch by Control of Quantum Mechanical Trans- The Future of Solid-State Electronics. R. W. Keyes in Physics
mission? Rolf Landauer in Physics Today, Vol. 42, No. 10, pages Today, Vol. 45, No. 8, pages 4248; August 1992.

52 Scientific American: The Solid-State Century The Future of the Transistor


Copyright 1997 Scientific American, Inc.
Integration:
The Transistor M eets

2 Mass Pro duc tion

With the cost of building a new semiconductor facility now into

10 figures, and with the densities of transistors close to the theoret-

ical limits for the technologies being used, an unsettling question is

once more being asked in some quarters. What will happen to the

industry when it finally must confront technical barriers that are

truly impassable? (page 66)

TOM DRAPER

Copyright 1997 Scientific American, Inc. Scientific American: The Solid-State Century 55
Tiny silicon chips make modern digital technology possible.
Heres how the chips themselves are made

From Sand to Silicon:


Manufacturing an
Integrated Circuit
by Craig R. Barrett

T he fundamental device of the digital world is the in-


tegrated circuit, a small square of silicon containing
millions of transistors. It is probably the most com-
plex of man-made products. Although it looks flat, it is in fact
a three-dimensional structure made by painstakingly building
up on the silicon base several microscopically thin layers of
materials that both insulate and conduct electricity. Assembled
according to a pattern carefully worked out in advance, these
layers form the transistors, which function as switches con-
trolling the flow of electricity through the circuit, which is also
known as a chip. On and off switches manipulate the bi-
nary code that is at the core of what a computer does.
Building a chip typically requires several hundred manufac-
turing steps that take weeks to complete. Each step must be
executed perfectly if the chip is to work. The conditions are
demanding. For example, because a speck of dust can ruin a
chip, the manufacturing has to be done in a clean room
containing less than one submicron particle of dust per cubic
foot of air (in contrast, the average living room has between
100,000 and one million particles per cubic foot of air). Much of the equipment needed for making chips embodies the high-
est of high technology, with the result that chip factorieswhich cost between $1 billion and $2 billion for a state-of-the-art
facilityare among the costliest of manufacturing plants.
A basic technology of chipmaking is the planar process devised in 1957 by Jean Hoerni of Fairchild Semiconductor. It
provided a means of creating a layered structure on the silicon base of a chip. This technology was pivotal in Robert N.
Noyces development of the integrated circuit in 1958. (Noyce later became co-founder with Gordon E. Moore of Intel Cor-
poration, the company that invented the microprocessor and has become the worlds leading supplier of semiconductor chips.
An article about Moore appears on page 62.) Bridging the gap between the transistor and the integrated circuit, the planar
technology opened the way to the manufacturing process that now produces chips. The hundreds of individual steps in that
process can be grouped into a few basic operations.

CRAIG R. BARRETT is president and chief operating officer of Intel Corporation.

56 Scientific American: The Solid-State Century From Sand to Silicon: Manufacturing an Integrated Circuit
Copyright 1997 Scientific American, Inc.
Chip Design
The first operation is the design of the chip. When tens of millions of tran-
sistors are to be built on a square of silicon about the size of a childs finger-
nail, the placing and interconnections of the transistors must be meticulously
worked out. Each transistor must be designed for its intended function, and
groups of transistors are combined to create circuit elements such as invert-
ers, adders and decoders. The designer must also take into account the in-
tended purpose of the chip. A processor chip carries out instructions in a com-
puter, and a memory chip stores data. The two types of chips differ somewhat
SILICON in structure. Because of the complexity of todays chips, the design work is

DRAWINGS BY BARRY ROSS, AFTER INTEL AND SEMICONDUCTOR EQUIPMENT AND MATERIALS INTERNATIONAL
INGOT done by computer, although engineers often print out an enlarged diagram of
a chips structure to examine it in detail (above).

The Silicon Crystal


The base material for building an integrated circuit is a silicon crystal. Sili-
con, the most abundant element on the earth except for oxygen, is the princi-
pal ingredient of beach sand. Silicon is a natural semiconductor, which means
that it can be altered to be either an insulator or a conductor. Insulators, such
as glass, block the passage of electricity; conductors, such as copper, let elec-
tricity pass through. To make a silicon crystal, raw silicon obtained from
quartz rock is treated with chemicals that remove contaminants until what
remains is almost 100 percent silicon. This purified silicon is melted and then
formed into cylindrical single crystals called ingots (left, top). The ingots are
sliced into wafers about 0.725 millimeter (0.03 inch) thick. In a step called
planarization they are polished with a slurry until they have a flawless, mir-
ror-smooth surface. At present, most of the wafers are 200 millimeters (eight
8 inches) in diameter, but the industry is moving toward achieving a standard
diameter of 300 millimeters (12 inches) by 1999 (left, bottom). Because a sin-
SLICED
gle wafer yields hundreds of chips, bigger wafers mean that more chips can
AND
POLISHED
be made at one time, holding down the cost per chip.
WAFERS
12

From Sand to Silicon: Manufacturing an Integrated Circuit Scientific American: The Solid-State Century 57
Copyright 1997 Scientific American, Inc.
The First Layers
With the wafer prepared, the process of building the chips circuitry begins. Making
the transistors and their interconnections entails several different basic steps that are re-
peated many times. The most complex chips made today consist of 20 or more layers
and may require several hundred separate processing steps to build them up one by one.
The first layer is silicon dioxide, which does not conduct electricity and therefore
serves as an insulator. It is created by putting the wafers into a diffusion furnace (top
right)essentially an oven at high temperature where a thin layer of oxide is grown on
the wafer surface.
Removed from the furnace, the wafer is now ready for its first patterning, or pho-
tolithographic, step. A coating of a fairly viscous polymeric liquid called photoresist,
which becomes soluble when it is exposed to ultraviolet light, is applied to the surface.
A spigot deposits a precise amount of photoresist on the wafer surface (bottom). Then
the wafer is spun so that centrifugal force spreads the liquid over the surface at an even
thickness. This operation takes place on every layer that is modified by a photolitho-
graphic procedure called masking, described in the next step.
PHOTORESIST
DIFFUSION FURNACE

SILICON
SUBSTRATE
SILICON DIOXIDE

WAFER COATED
WITH PHOTORESIST

SPIGOT THAT
DEPOSITS
PHOTORESIST
COATING

58 Scientific American: The Solid-State Century From Sand to Silicon: Manufacturing an Integrated Circuit
Copyright 1997 Scientific American, Inc.
Masking
A mask is the device through which ultraviolet light shines to define the circuit pattern on
each layer of a chip. Because the pattern is intricate and must be positioned precisely on the
chip, the arrangement of opaque and transparent spaces on a mask must be done carefully
during a chips design stage.
The mask image is transferred to the wafer using a computer-controlled machine known
as a stepper. It has a sophisticated lens system (below) to reduce the pattern on the mask to
the microscopic dimensions of the chips circuitry, requiring resolution as small as 0.25 mi-
cron. The wafer is held in place on a positioning table below the lens system. Ultraviolet
light from an arc lamp or a laser shines through the clear spaces of the masks intricate pat-
tern onto the photoresist layer of a single chip. The stepper table then moves the wafer the
precise distance required to position another chip under the light. On each chip, the parts MERCURY ARC LAMP
of the photoresist layer that were struck by the light become soluble and can be developed,
much like photographic film, using organic solvents. Once the photoresist is patterned, the
wafer is ready for etching.

MASK

OPTICAL
ALIGNMENT
SYSTEM

MASK

LENS

PATTERNS
PROJECTED STEPPER
ONTO WAFER POSITIONING
TABLE
WAFER

SPIGOT THAT
DEPOSITS ACID

Etching
During this step, photoresist remaining on the
surface protects parts of the underlying layer from
ETCHED WAFER being removed by the acids or reactive gases used to
etch the pattern on the surface of the wafer. After
etching is complete, the protective layer of photore-
sist is removed to reveal electrically conducting or
electrically insulating segments in the pattern deter-
mined by the mask (left). Each additional layer put
on the chip has a distinctive pattern of this kind.

ETCHED SILICON DIOXIDE

SILICON SUBSTRATE

From Sand to Silicon: Manufacturing an Integrated Circuit Scientific American: The Solid-State Century 59
Copyright 1997 Scientific American, Inc.
SILICON DIOXIDE
Adding Layers POLYSILICON
Further masking and etching steps deposit patterns
of additional materials on the chip. These materials in-
clude polysilicon as well as various oxides and metal
conductors such as aluminum and tungsten. To prevent
the formation of undesired compounds during subse-
quent steps, other materials known as diffusion barri-
ers can also be added. On each layer of material, mask-
ing and etching create a unique pattern of conducting
and nonconducting areas (right). Together these pat-
terns aligned on top of one another form the chips cir-
cuitry in a three-dimensional structure. But the circuit-
ry needs fine-tuning to work properly. The tuning is
provided by doping. SILICON SUBSTRATE

ION IMPLANTER

Doping
Doping deliberately adds chemical impurities, such as boron or arsenic, to
parts of the silicon wafer to alter the way the silicon in each doped area conducts
electricity. Machines called ion implanters (left) are often used to inject these im-
purities into the chip.
In electrical terms, silicon can be either n-type or p-type, depending on the im-
POLYSILICON purity added. The atoms in the doping material in n-type silicon have an extra
electron that is free to move. Some of the doping atoms in p-type silicon are
DOPED SILICON short an electron and so constitute what is called a hole. Where the two types ad-
join, the extra electrons can flow from the n-type to the p-type to fill the holes.
This flow of electrons does not continue indefinitely. Eventually the positively
charged ions left behind on the n-type side and the negatively charged ions on
the p-type side together create an electrical force that prevents any further net
flow of electrons from the n-type to the p-type region.
The material at the base of the chip is p-type silicon. One of the etching steps
in the manufacture of a chip removes parts of the polysilicon and silicon dioxide
layers put on the pure silicon base earlier, thus laying bare two strips of p-type
silicon. Separating them is a strip that still bears its layer of conducting polysili-
con; it is the transistors gate. The doping material now applied to the two
strips of p-type silicon transforms them into n-type silicon. A positive charge ap-
plied to the gate attracts electrons below the gate in the transistors silicon base.
These electrons create a channel between one n-type strip (the source) and the
other (the drain). If a positive voltage is applied to the drain, current will flow
from source to drain. In this mode, the transistor is on. A negative charge at
the gate depletes the channel of electrons, thereby preventing the flow of current
between source and drain. Now the transistor is off. It is by means of switch-
ing on and off that a transistor represents the arrays of 1 and 0 that constitute
the binary code, the language of computers.
Done many times in many layers, these operations provide the chip with its
multitude of transistors. But just as provision must be made to run electrical
wires and plumbing pipes between floors of a building, provision must be made
in chips for interconnecting the transistors so they form an integrated circuit.

60 Scientific American: The Solid-State Century From Sand to Silicon: Manufacturing an Integrated Circuit
Copyright 1997 Scientific American, Inc.
ALUMINUM
GATE

DRAIN
SOURCE

ELECTRON FLOW PROBES THAT TEST ELECTRICAL


CONNECTIONS IN EACH CHIP

DICING MACHINE

WIRE BONDER
Interconnections
This final step begins with further masking and etching operations that open a
thin layer of electrical contacts between layers of the chip. Then aluminum is de-
posited and patterned using photolithography to create a form of wiring that links
all the chips transistors (top). Aluminum is chosen for this application because it
makes good electrical contact with silicon and also bonds well to silicon dioxide.
This step completes the processing of the wafer. Now the individual chips are
tested to ensure that all their electrical connections work using tiny electrical
probes (above right). Next, a machine called a dicer cuts up the wafer into individ-
ual chips (above left), and the good chips are separated from the bad. The good
chipsusually most of the wafers cropare mounted onto packaging units with
metal leads. Wire bonders (left) then attach these metal leads to the chips. The elec-
trical contacts between the chips surface and the leads are made with tiny gold or
aluminum wires about 0.025 millimeter (0.001 inch) in diameter. Once the pack-
aging process is complete, the finished chips are sent to do their digital work. SA

From Sand to Silicon: Manufacturing an Integrated Circuit Scientific American: The Solid-State Century 61
Copyright 1997 Scientific American, Inc.
PROFILE

The Law
of More
by W. Wayt Gibbs, staff writer

T echnologists are given to public displays of unbri-


dled enthusiasm about the prospects of their in-
ventions. So a reader flipping through the 35th
anniversary issue of Electronics in April 1965 might easily
have dismissed an article by Gordon E. Moore, then head of
research at Fairchild Semiconductor, pitching the future of
his business. Moore observed that the most cost-effective in-
tegrated circuits had roughly doubled in complexity each
year since 1959; they now contained a whopping 50 transis-
tors per chip. At that rate, he projected, microchips would
contain 65,000 components by 1975, at only a modest in-
crease in price. Integrated circuits, Moore wrote, will lead
to such wonders as home computersor at least terminals
connected to a central computerautomatic controls for au-
tomobiles, and personal portable communications equipment.
Technically, Moore was overoptimistic: 65,000-transistor
chips did not appear until 1981. But his fundamental in-
sightthat continued geometric growth in the complexity of
microelectronics would be not only feasible but also profit-
ableheld true for so long that others began referring to it as
Moores Law. Today, from his vantage point as chairman
emeritus of Intel, Moore observes that his prediction has
become a self-fulfilling prophecy. [Chipmakers] know they
have to stay on that curve to remain competitive, so they put
the effort in to make it happen.
That effort grows with each generationIntel and its peers
now spend about $20 billion a year on research. Moore ex-
pects the rule of his law to end within the next decade, coin-
ciding nicely with the twilight of his career. Such good for-
tunethe kind that tends to smile on the preparedis a recur-
rent theme in the history of Moore and the microprocessor.
Even Moores entry into the semiconductor business was
accidental. A year after finishing his doctorate at the Califor-
nia Institute of Technology in 1954, the physical chemist de-
cided to take a job as an inspector of nuclear explosions at
Lawrence Livermore National Laboratory. By coincidence,
William Shockley, one of the inventors of the transistor, was
at the time looking for chemists to work in his semiconduc-
tor company and got permission to rifle through Livermores
rsum file. I had no background whatsoever in semicon-
ductors, Moore recalls. Shockley offered him a job anyway.
Shockley was a technical genius, but he really didnt un-
derstand how people worked very well, Moore says. With-
EDWARD CALDWELL

in a year he, Robert N. Noyce and several colleagues aban-


doned Shockley to found a new firm. Fairchild Semiconduc-
tor produced the first commercial integrated circuit in 1959
and grew over the next decade into a $150-million business.

62 Scientific American: The Solid-State Century


Copyright 1997 Scientific American, Inc.
Gordon E. Moore
co-founded two
high-tech titans
but is best known
But soon after it was bought out by a then to do the precision alignment,
conglomerate, Moore grew restive. for an eponymous law Moore warns. So while a lot of
In 1968 he and Noyce struck out work continues on x-rays, some of
again on their own. us have lost our enthusiasm for that
Fairchild and its competitors were that may finally be technology.
still customizing chips for every sys- A second option is to use electron
tem. The idea we had for Intel,
Moore says, was to make something
nearing its limit beams to draw circuit designs line
by line onto silicon. But that process
complex and sell it for all kinds of is still far too slow for mass produc-
digital applications: first memory tion, Moore says: And as you go to
chips, then calculators. But we were a little late, Moore smaller dimensions, the total distance the beam has to trav-
adds. All the big calculator companies already had partners. el to make the pattern keeps going up. Experiments with
Noyce tracked down a small Japanese start-up named wider beams look promising, however. Worst case, we will
Busicom that had designed the logic for 13 microcircuits to be able to make a layer or two of some very fine structures
go into its scientific calculators. To do 13 different com- with an electron beam, then add optically some structures
plex custom circuits was far beyond what we could tackle, that are not so fine, he wagers.
Moore recounts. But after some thought, Intel engineer Ted The smart money, Moore says, is on soft (relatively low
Hoff concluded that a single, general-purpose chip could frequency) x-rays. There is still a tremendous amount of
perform all 13 functions and more. engineering involved in making this work, he cautions.
And so, out of chance and desperate necessity, the micro- You have to have a reflective mask instead of a transpar-
processor was born in 1971. Under Moores direction, four ent mask. You have to have a vacuum system. You have to
Intel engineers created, in nine months, a computer on a have new resist [coatings]. But if it succeeds, Moore con-
chip. There was just one problem, Moore admits, with a cludes, soft x-ray lithography will take us as far as the ma-
sheepish grin: Busicom paid a portion of the development terial will let us go, a long ways from where it is now.
costs and therefore owned the rights to the design. Moore worries as much about the consequences of tinier
But fortune was again on Moores side. Busicom slipped transistors as about ways to make them. With the rapid in-
into financial straits. We essentially gave them back the crease in chips complexity and clock speeds, if you dont
$65,000 [they had paid Intel] and got the rights to the chips do anything else, the power goes up something like 40-
back for all uses. So the Japanese initially owned all the fold every two generations, he points out. Well, if you
rights to microprocessors but sold them for 65 grand. In ret- start with a 10-watt device [such as the Pentium] and go up
rospect, it was kind of like the purchase of Manhattan is- 40-fold, the darn thing smokes! Weve handled it to date by
land for $24 in 1626, he laughs. lowering the voltage. But you can only go so far on that.
With Moore as chief executive and later as chairman, In- To squeeze out another decade of geometric performance
tel rode the wave of Moores Law for over 25 years. But growth, chip manufacturers will try various tricks, Moore
that wave will begin to break as the costs of cramming predicts. Phase-shift masks [that compensate for the dif-
more transistors on a slice of silicon overwhelm the benefits fraction of laser light] allow you to go to smaller dimen-
[see Toward Point One, by Gary Stix, page 74]. Things sions with a given wavelength. Processors are already five
we used to do relatively casually to advance the technology or six layers high; they will thicken still more. And silicon
now take teams of Ph.D.s, he says, estimating that 400 wafers will grow from eight to 12 inches in diameter, en-
engineers worked for four years to produce Intels latest abling greater economies of scale. Until recently, Moore
processors. concedes, I was a skeptic there. I argued that the cost of
Moore predicts that tweaking the lenses, robots and ul- material was going to be prohibitive. But he failed to fore-
traviolet lasers used to etch circuits onto silicon will extract see advances in crystal-growth techniques.
perhaps two more generations of processors, with features Moores vision is also less than clear on what new worka-
0.18, then 0.13 micron across, from current optical tech- day jobs will require desktop supercomputers with proces-
niques. Beyond that, life gets very interesting, he notes. sors that are 10 to 100 times more powerful than todays.
We have three equally unattractive alternatives. We havent identified any very general ones yet, he ad-
X-rays, with their smaller wavelength, could carve out mits. Indeed, by the time computer hardware begins to lag
wires just a handful of atoms across. But blocking such en- Moores vision, engineers may find that the barriers to more
ergetic waves requires very thick stencils as tiny as the chip intelligent, useful machines lie not in physics but in soft-
itself. It is very hard to make the mask perfect enough and ware, which obeys no such law. SA

INTEL CO-FOUNDER Gordon E. Moore sees potholes on The full text of Scientific Americans interview with
the road to desktop supercomputers. Gordon E. Moore is available at http://www.sciam.com

The Law of More Scientific American: The Solid-State Century 63


Copyright 1997 Scientific American, Inc.
Although the days of runaway growth
may be numbered, their passing may force
chipmakers to offer more variety

Technology and Economics


in the Semiconductor
Industry
by G. Dan Hutcheson and Jerry D. Hutcheson

T he ability to store and process information in new ways has been es-
sential to humankinds progress. From early Sumerian clay tokens
through the Gutenberg printing press, the Dewey decimal system and,
eventually, the semiconductor, information storage has been the catalyst for increas-
ingly complex legal, political and societal systems. Modern science, too, is inextrica-
bly bound to information processing, with which it exists in a form of symbiosis. Sci-
entific advances have enabled the storage, retrieval and processing of ever more in-
formation, which has helped generate the insights needed for further advances.
Over the past few decades, semiconductor electronics has become the driving
force in this crucial endeavor, ushering in a remarkable epoch. Integrated circuits
made possible the personal computers that have transformed the world of busi-
ness, as well as the controls that make engines and machines run more cleanly and
efficiently and the medical systems that save lives. In so doing, they spawned in-
dustries that are able to generate hundreds of billions of dollars in revenues and
provide jobs for millions of people. All these benefits, and far too many more to
list here, accrue in no small measure from the fact that the semiconductor industry
has been able to integrate more and more transistors onto chips, at ever lower costs.
This ability, largely unprecedented in industrial history, is so fundamental in the
semiconductor business that it is literally regarded as a law. Nevertheless, from
time to time, fears that technical and economic obstacles might soon slow the pace
of advances in semiconductor technology have cropped up. Groups of scientists and
engineers have often predicted the imminence of so-called showstopping problems,
only to see those predictions foiled by the creativity and ingenuity of their peers.
To paraphrase a former U.S. president, here we go again. With the cost of build-
ing a new semiconductor facility now into 10 figures, and with the densities of
transistors close to the theoretical limits for the technologies being used, an unset-
tling question is once more being asked in some quarters. What will happen to the
industry when it finally must confront technical barriers that are truly impassable?

Moore and More Transistors

I n 1965, seven years after the integrated circuit was invented, Gordon Moore
observed that the number of transistors that semiconductor makers could put
on a chip was doubling every year. Moore, who cofounded Intel Corporation in
1968 and is now an industry sage, correctly predicted that this pace would contin-
ue into at least the near future. The phenomenon became known as Moores Law,
and it has had far-reaching implications.

66 Scientific American: The Solid-State Century Technology and Economics in the Semiconductor Industry
Copyright 1997 Scientific American, Inc.
CIRCUIT LAYOUT helps designers to keep track
of the design for a chip. Different layers of the
chip are shown in different colors. This image
shows part of the layout for one of Motorolas
Power PC microprocessors.

himselfintegration contin-
ued to increase at an astound-
ing rate. True, in the late
1970s, the pace slowed to a
doubling of transistors every
18 months. But it has held to
this rate ever since, leading to
commercial integrated circuits
today with more than six mil-
lion transistors. The electronic
components in these chips
measure 0.35 micron across.
Chips with 10 million or more
transistors measuring 0.25 or
even 0.16 micron are expect-
ed to become commercially
available soon.
In stark contrast to what
would seem to be implied by
the dependable doubling of
transistor densities, the route
that led to todays chips was
anything but smooth. It was
more like a harrowing obsta-
cle course that repeatedly re-
quired chipmakers to over-
come significant limitations in
their equipment and produc-
tion processes. None of those
problems turned out to be the
dreaded showstopper whose
solution would be so costly
that it would slow or even
halt the pace of advances in
semiconductors and, there-
fore, the growth of the indus-
try. Successive roadblocks,
however, have become increas-
ingly imposing, for reasons
tied to the underlying tech-
nologies of semiconductor
manufacturing.
Chips are made by creating
ILL

and interconnecting transis-


TH
SCO

tors to form complex electron-


ic systems on a sliver of sili-
Because the doublings in density were not accompanied by con. The fabrication process is based on a series of steps,
an increase in cost, the expense per transistor was halved called mask layers, in which films of various materialssome
with each doubling. With twice as many transistors, a mem- sensitive to lightare placed on the silicon and exposed to
ory chip can store twice as much data. Higher levels of inte- light. After these deposition and lithographic procedures, the
gration mean greater numbers of functional units can be inte- layers are processed to etch the patterns that, when pre-
grated onto the chip, and more closely spaced devices, such cisely aligned and combined with those on successive layers,
as transistors, can interact with less delay. Thus, the advances produce the transistors and connections. Typically, 200 or
gave users increased computing power for the same money, more chips are fabricated simultaneously on a thin disk, or
spurring both sales of chips and demand for yet more power. wafer, of silicon [see illustration on next page].
To the amazement of many expertsincluding Moore In the first set of mask layers, insulating oxide films are de-

Technology and Economics in the Semiconductor Industry Scientific American: The Solid-State Century 67
Copyright 1997 Scientific American, Inc.
1 PREPARED
PHOTORESIST SILICON WAFER

PROJECTED
SILICON LIGHT
DIOXIDE LAYER
SILICON
NITRIDE LAYER
SILICON
SUBSTRATE

RETICLE
(OR MASK)

2 LENS
SIMILAR CYCLE IS REPEATED
6 TO LAY DOWN METAL LINKS
BETWEEN TRANSISTORS

PATTERNS ARE PROJECTED


REPEATEDLY ONTO WAFER
METAL NEW PHOTORESIST IS SPUN
CONNECTOR ON WAFER, AND STEPS 2 TO 4
ARE REPEATED

IONS SHOWER THE


5 ETCHED AREAS, DOPING THEM
3

EXPOSED
4 PHOTORESIST
DOPED IS REMOVED
REGION

JARED SCHNEIDMAN DESIGN


AREAS UNPROTECTED
BY PHOTORESIST ARE
ETCHED BY GASES

CHIP FABRICATION occurs as a cycle of steps carried out as falls, a chip is made. The photosensitive coating is removed (3),
many as 20 times. Many chips are made simultaneously on a sil- and the light-exposed areas are etched by gases (4). These areas
icon wafer, to which has been applied a light-sensitive coating are then showered with ions (or doped), creating transistors
(1). Each cycle starts with a different pattern, which is projected (5). The transistors are then connected as successive cycles add
repeatedly onto the wafer (2). In each place where the image layers of metal and insulator (6).

posited to make the transistors. Then a at that point, the photoresist is removed. smallest features that can be resolved by
photosensitive coating, called the pho- More sets of mask layers, based on an optical system with a circular aper-
toresist, is spun over these films. The much the same deposition, lithography ture is proportional to the wavelength
photoresist is exposed with a stepper, and etching steps, create the conducting of the light source divided by the diam-
which is similar to an enlarger used to films of metal or polysilicon needed to eter of the aperture of the objective lens.
make photographic prints. Instead of a link transistors. All told, about 19 mask In other words, the shorter the wave-
negative, however, the stepper uses a ret- layers are required to make a chip. length and the larger the aperture, the
icle, or mask, to project a pattern onto The physics underlying these manu- finer the resolution.
the photoresist. After being exposed, the facturing steps suggests several poten- The limit is a cardinal law in the
photoresist is developed, which delin- tial obstacles to continued technical semiconductor industry because it can
eates the spaces, known as contact win- progress. One follows from Rayleighs be used to determine the size of the
dows, where the different conducting resolution limit, named after John Wil- smallest transistors that can be put on a
layers interconnect. An etcher then cuts liam Strutt, the third Baron of Rayleigh, chip. In the lithography of integrated
through the oxide film so that electrical who won the 1904 Nobel Prize for Phys- circuits, the most commonly used light
contacts to transistors can be made, and ics. According to this limit, the size of the source is the mercury lamp. Its most

68 Scientific American: The Solid-State Century Technology and Economics in the Semiconductor Industry
Copyright 1997 Scientific American, Inc.
useful line spectra for this purpose oc- Skyrocketing costs of creative improvements will dry up.
cur at 436 and 365 nanometers, the so- Nevertheless, as the stream becomes a
called mercury g and i lines. The former have once again focused trickle, the economic consequences of
is visible to the human eye; the latter is approaching technical barriers will be
just beyond visibility in the ultraviolet. attention on limits in the felt before the barriers themselves are
The numerical apertures used range reached. For example, the costs of
from a low of about 0.28 for run-of-the- semiconductor industry. achieving higher levels of chip perfor-
mill industrial lenses to a high of about mance rise very rapidly as the limits of
0.65 for those in leading-edge lithogra- a manufacturing technology are ap-
phy tools. These values, taken together proached and then surpassed. Increas-
with other considerations arising from ing costs may drive prices beyond what
demands of high-volume manufactur- the best available equipment just sever- buyers are willing to pay, causing the
ing, give a limiting resolution of about al years ago. market to stagnate before the actual
0.54 micron for g-line lenses and about Innovative solutions overcame these barriers are encountered.
0.48 for i-line ones. limitations. Planarizing methods were Eventually, though, as a new manu-
Until the mid-1980s, it was believed developed to ensure optically flat sur- facturing technology takes hold, the
that g-line operation was the practical faces. Fine adjustments to the edges of costs of fabricating chips begin to de-
limit. But one by one, obstacles to i-line the patterns in the reticle were used to cline. At this point, the industry has
operation were eliminated in a manner shift the phase of the incoming i-line ra- jumped from a cost-performance curve
that well illustrates the complex rela- diation, permitting crisper edge defini- associated with the old technology to a
tions between economics and technolo- tions and therefore smaller featuresin new curve for the new process. In ef-
gy in the industry. Technical barriers effect, circumventing Rayleighs limit. fect, the breakthrough from one manu-
were surmounted, and, more important, One of the last adjustments was the sim- facturing technology to another forces
others were found to be mere by-prod- ple acceptance of a lower value of the the cost curve to bend downward, push-
ucts of the level of risk the enterprise was proportionality constant, which is relat- ing technical limits farther out [see illus-
willing to tolerate. This history is quite ed to the degree of contrast in the im- tration below]. When this happens,
relevant to the situation the industry now age projected onto the wafer during higher levels of performance are obtain-
finds itself inclose to what appear to be lithography. For i-line operation, manu- able without an increase in cost, prompt-
the practical limits of i-line operation. facturers gritted their teeth
and accepted a lower pro- PRICE VERSUS PERFORMANCE
Must the Show Go On? portionality constant than MANUFACTURING
was previously thought prac- COST CURVES

O ne of the impediments to i-line op-


eration, at the time, was the fact
that most of the glasses used in lenses
tical. Use of the lower value
meant that the margins dur-
ing fabrication would be TECHNOLOGY
are opaque at i-line frequencies. Only lower, requiring tighter con- BREAKTHROUGH
about 70 percent of i-line radiation pass- trols over processeslithog-
es through these lenses; the rest is con- raphy, deposition and etch-
verted to heat, which can distort the im- ing, for exampleto keep the
PRICE

age. Many experts believed these factors number of acceptable chips


would necessitate the use of quartz. per wafer (the yield) high. As UPPER PRICE LIMIT
Even if practical quartz lenses could be a result of these innovations,
made, it was reasoned, verifying the i-line steppers are now rou-
alignment of patterns that could not be tinely used to expose 0.35-

LISA BURNETT
seen would be difficult. Glasses were micron features.
eventually developed that could pass In this last instance, what
more than 99 percent of i-line radiation, was really at issue was the
E1 E2 T1 T2
and new technologies were invented to loss in contrast ratio that a Economic Technology
solve the alignment problem. company was willing to tol- Barriers Barriers
There are other difficulties, however. erate. With perfect contrast, PRODUCT PERFORMANCE
Rayleighs limit also establishes the in- the image that is created on SOURCE: VLSI Research, Inc.
terval within which the pattern project- the photoresist is sharp. Like
ed by the lens is in focus. Restricted so many of the limitations in COST CURVE is associated with each chip-manu-
depth of focus can work against resolu- the industry, contrast ratio facturing system. Technology barriers, T1 and T2, are
tion limits: the better the resolution, the was perceived to be a techni- where minute increases in chip performance can be
shallower the depth of focus. For a lens cal barrier, but it was actual- achieved only at a huge cost. Economic barriers are
as described above, the depth of focus ly a risk decision. Lower con- encountered well before the technological ones, how-
is about 0.52 micron for the best g-line trast ratios did not lower ever. These occur where the line representing the
maximum price customers are willing to pay inter-
lenses and about 0.50 for i-line ones. yields, it was found, if there
sects with the curves (at E1 and E2). Technology
Such shallow depths of focus demand were tighter controls else- breakthroughs have the effect of bending the curve
extremely flat wafer surfacesmuch where in the process. downward, to the position of the darker plot. When
flatter than what could be maintained It has been difficult to pre- this happens, performance improves, shifting the bar-
across the diagonal of a large chip with dict whenor ifthis stream riers to E2 and T2.

Technology and Economics in the Semiconductor Industry Scientific American: The Solid-State Century 69
Copyright 1997 Scientific American, Inc.
How Much Bang for the Buck?

F or about 60 years, almost all industrial


companies have used basically the
same model to keep track of financial re-
nonlinearity that makes the semiconduc-
tor industry essentially unlike all other
large industries and therefore renders un-
in R&D. (For tax reasons, the standard
practice in the industry is not to include
R&D funds in this category but rather to
turns from their investments in equip- suitable all of the business models used in treat them as an operating expense.)
ment, research, marketing and all other other industries to track investment and What this ratio indicates are incremen-
categories. Developed just before World profitability. tal profits per incremental investment,
War I by Donaldson Brown of Du Pont, the In the semiconductor industry, relative- one year removed. It shows, in effect, how
model was brought into the business ly large infusions of capital must be peri- high a company is keeping its head above
mainstream by General Motors during its odically bestowed on equipment and re- water, with respect to profits, thanks to its
effort to surpass Ford Motor Company as search, with each infusion exponentially investment in ever more costly technolo-
the dominant maker of automobiles. larger than the one before. Moreover, as is gy. ROI, in contrast, measures the incre-
Since its universal adaptation, this re- true for any company, investments in re- mental profits over a year coming from all
turn-on-investment (ROI) model has held search, new equipment and the like must investments, rather than just those of the
up well in industries in which the rates of eventually generate a healthy profit. At previous year.
growth and technological advance are present, however, semiconductor compa-
relatively small. To our knowledge, how- nies have no way of determining precisely o far we have merely lumped new
ever, the model has never been shown to
work well in a sector such as the semicon-
the proportion of their financial returns
that comes from their technology invest-
S manufacturing equipment and R&D
together as new technology. But the effect
ductor industry, in which many key rates ments. This inability poses a serious prob- of technology drag becomes more strik-
of changeof product performance and lem for the semiconductor industry. So for ing when the two categories are separat-
the cost of manufacturing equipment, to several years we have been working on ed, and the ebb and flow between them
name just twoare in fact nonlinear. methods of characterizing the industry is elucidated. One way of doing this is to
From an economic viewpoint, it is this that take into account these nonlinear el- compute the ratio of these two invest-
ements, with an eye toward ments year by year and then plot it against
LISA BRAIMAN

197175 197684 198596 modifying the ROI model. our old standby: the ratio of cash generat-
In the conventional model, ed during a given year to investments
additional capital investments made in new technology during the previ-
are made only when gaps oc- ous year. Results for Intel over most of its
cur between a manufacturers history are plotted in the chart at the left.
actual and anticipated capaci- Several interesting aspects of Intels fi-
ty (the latter is the capacity a nancial history emerge in this diagram,
4
company thinks it will need to called a phase chart. Connecting the plot-
(RATIO OF NEW CASH GENERATED TO
EQUIPMENT AND R&D INVESTMENT)

1973 meet demand in the near fu- ted points traces loops that each corre-
3 ture). Such gaps usually result spond to roughly a six-year cycle, during
1974 from the aging of equipment which Intel roams from a period of un-
RISING PROFITABILITY

1976 1996 and the departure of experi- profitable operations caused by heavy
2 1995 enced personnel. In industries capital investment to an interval of very
1993
1987 such as semiconductors, on good cash generation stemming from
1994 1979 the other hand, not only must much lighter capital investment. From the
1
1981 increases in capacity be con- chart, it is clear that Intel is now entering
1986 1971 stantly anticipated, but also another period of heavy capital investment.
0 great advances in the manu- Other semiconductor (and comparable
0 1 2 3 facturing technology itself must high-technology) companies go through
RISING INVESTMENT be foreseen and planned for. similar cycles. Of course, the timing of the
(RATIO OF PLANT AND EQUIPMENT To account for this technol- periods of profitability and heavy invest-
INVESTMENT TO R&D)
ogy-drag effect, we began by ment varies from company to company.
SOURCE: VLSI Research, Inc.
considering the ratio of cash Each loop is lower than the one that
generated during any given preceded it. This insight is perhaps the
PHASE CHART shows the relation between Intels year to investments made in most significant that the illustration has to
profits and investments in technology throughout the new technology the year be- offer, because it means that Intels profits,
companys history. Plotted points trace loops that fore. New technology, in this relative to the capital expenditures gener-
each correspond to roughly a six-year cycle. (The cy- context, consists of both new ating them, are declining with each suc-
cles are shown in different colors.) During each of
manufacturing equipment and cessive cycle. Because it shows the full cy-
them, Intel roams from a period of unprofitable op-
erations caused by heavy investment to an interval of research and development. cle between investment in technology
very good cash generation stemming from much Cash generated during the year and its payoff, this phase chart is a power-
lighter investment. Green arrows indicate the year in is the gross profit generated ful tool for observing and managing the
each cycle when Intel made the most money and by operations, including mon- investment cycles peculiar to this unique,
spent lightly on equipment. ey earmarked for reinvestment dynamic industry. G.D.H. and J.D.H.

70 Scientific American: The Solid-State Century Technology and Economics in the Semiconductor Industry
Copyright 1997 Scientific American, Inc.
ing buyers to replace older equipment. 109
This is important in the electronics in- TIME FRAMES FOR
dustry, because products seldom wear LITHOGRAPHY SYSTEMS
out before becoming obsolete. 256M
The principles outlined so far apply CONTACT ALIGNERS
to all kinds of chips, but memory is the
PROXIMITY ALIGNERS
highest-volume business and is in some 108
ways the most significant. From about PROJECTION ALIGNERS 64M
$550,000 25 years ago, the price of a
megabyte of semiconductor memory FIRST G-LINE STEPPERS
has declined to just $4 today. But over 16M
the same period, the cost of building a ADVANCED G-LINE STEPPERS 80786
factory to manufacture such memory 107 POWER PC 620
FIRST I-LINE STEPPERS

NUMBER OF TRANSISTORS PER CHIP


chips has risen from less than $4 mil- PENTIUM PRO
lion to a little more than $1.3 billion, 4M
ADVANCED I-LINE STEPPERS POWER PC 604
putting the business beyond the reach POWER PC 601 PENTIUM
of all but a few very large firms. Such FIRST DEEP-UV STEPPERS
skyrocketing costs, propelled mainly by 68040
1M
the expense of having to achieve ever 106 80486
more imposing technical breakthroughs,
have once again focused attention on 256K
limits in the semiconductor industry. 68030
68020 80386
Breakthroughs Needed
64K 80286
105

T he semiconductor industry is not


likely to come screeching to a halt
anytime soon. But the barriers now be-
68000

16K 8086
ing approached are so high that getting
beyond them will probably cause more
far-reaching changes than did previous 104 4K
cycles of this kind. To understand why
requires outlining some details about the 8080 INTEL MICROPROCESSOR
1K 6800
obstacles themselves. MOTOROLA MICROPROCESSOR
Most have to do with the thin-film

LISA BRAIMAN
4004 SIZE OF MEMORY (DRAM) IN BITS
structures composing the integrated cir-
cuit or with the light sources needed to 10 3
make the extremely thin conducting 1970 72 74 76 78 80 82 84 86 88 90 92 94 96 98 2000
lines or with the line widths themselves. YEAR OF AVAILABILITY
Two examples concern the dielectric con- SOURCES: VLSI Research, Inc.; Integrated Circuit Engineering Corporation
stant of the insulating thin films. The
dielectric constant is an electrical prop- TRANSISTOR DENSITIES on integrated circuits have increased at an exponential
erty that indicates, among other things, rate, as shown on this logarithmic plot. The rate has been sustained by a succession of
lithography systems, which are used in chipmaking to project patterns onto wafers.
the ability of an insulating film to keep Higher densities have been achieved in memory chips because of their more regular and
signals from straying between the nar- straightforward design.
rowly spaced conducting lines on a chip.
Yet as more transistors are integrated
onto a chip, these films are packed clos- the opposite propertya high dielectric sources for lithography is also daunt-
er together, and cross-talk between sig- constantare needed. Most integrated ing. Finer resolution demands shorter
nal lines becomes worse. circuits require capacitors. In a semicon- wavelengths. But the most popular mer-
One possible solution is to reduce the ductor dynamic random-access memory cury light sources in use today emit very
value of the dielectric constant, making (DRAM), for instance, each bit is actu- little energy at wavelengths shorter than
the insulator more impermeable to cross- ally stored in a capacitor, a device capa- the i lines 365 nanometers. Excimer
talk. This, in turn, initiates a twofold ble of retaining an electrical charge. (A lasers are useful down to about 193
search, one for new materials with low- charged capacitor represents binary 1, nanometers but generate little energy
er dielectric constants, the other for new and an uncharged capacitor is 0.) Typi- below that wavelength. In recent years,
film structures that can reduce further cally, the amount of capacitance that is excimer-laser lithography has been used
the overall dielectric constant. Some en- available on a chip is never quite to fabricate some special-purpose, high-
gineers are even looking for ways to enough. Capacitance is proportional to performance chips in small batches.
riddle the insulating film with small the dielectric constant, so DRAMs and For still shorter wavelengths, x-ray
voids, to take advantage of the very low similar chips need materials of a high sources are considered the last resort.
dielectric constant of air or a vacuum. dielectric constant. Nevertheless, 20 years of research on x-
Elsewhere on the chip, materials with The quest for more advanced light ray lithography has produced only mod-

Technology and Economics in the Semiconductor Industry Scientific American: The Solid-State Century 71
Copyright 1997 Scientific American, Inc.
est results. No commercially Like the semiconductor in-
available chips have as yet dustry, aviation had a fast
been made with x-rays. start. In less than 40 years
the industry went from the
Billion-Dollar Factories Wright brothers biplane to
the Pan Am Clipper, the Fly-

E conomic barriers also


rise with increasing tech-
nical hurdles and usually
ing Fortress and the Super-
fortress. Also like the semi-
conductor industry, aviation
make themselves evident in initially served mainly mili-
the form of higher costs for tary markets before moving
equipment, particularly for on to nonmilitary ones (mail
lithography. Advances in and passenger transport).
lithography equipment are The aviation industry sus-
especially important because tained growth by lowering
they determine the smallest the costs per passenger-mile
features that can be created traveled, while also reducing
on chips. Although the size of transit times. The dual mis-
these smallest possible fea- sions are comparable to the
tures has shrunk at roughly semiconductor industrys
14 percent annually since the steadfast efforts to increase
earliest days of the industry, the density of transistors on
the price of lithography chips and boost performance,
equipment has risen at 28 while lowering chip costs.
percent a year. For several decades, avia-
In the early days, each new tion grew by focusing its re-
generation of lithography search and development on
equipment cost about 10 increasing passenger capaci-
times as much as the previ- ty and airspeed. Eventually,
ous one did. Since then, the the trends peaked with Boe-
intergenerational develop- ings 747 as a benchmark for
ment of stepping aligners capacity and the Concorde

SCOT HILL
has reduced these steep price as one for speed. Although
increases to a mere doubling the 747 was a successful air-
of price with each new sig- craft, filling its many seats
INTEGRATED CIRCUIT, or die, for Motorolas Power PC 620
nificant development. The microprocessor has nearly seven million transistors. It was de- was often difficult on all but
price of other kinds of semi- signed mainly for use in computer workstations and file servers. the longest routes. The Con-
conductor-fabrication equip- corde, on the other hand, was
ment has gone up in a simi- an economic failure because
lar fashion. Such an occurrence would not be en- noise pollution limited its use. Both rep-
Such increases have boosted the over- tirely without precedent. The cost per resented high-water marks, in the sense
all costs of building semiconductor plants bit of memories rose by 279 percent be- that technology could not realistically
at about half the rate of Moores Law, tween 1985 and 1988 without dire provide more capacity or speed. Never-
doubling every three years. Intel is spend- consequences. In fact, 1988 was one of theless, aviation did not go into a tail-
ing $1.3 billion on its new factory in the semiconductor industrys best years. spin. It entered a second phase in which
Hillsboro, Ore., and the same amount on When the cost per bit begins to rise per- a greater diversity of smaller airplanes
another one in Chandler, Ariz. Advanced manently, the most likely result will be were designed and built for more spe-
Micro Devices (AMD) and Samsung an industrial phase change that signifi- cific markets. The focus of research and
are each building plants that will cost cantly alters business models. development shifted from speed and
$1.5 billion to finish; Motorola and LG size to more efficient and quieter opera-
Semicon of Korea have plans for a facto- Up, Up and Away tions and more passenger comfort.
ry that will cost over $2 billion. Small- In railroads, the trends were similar.
er factories can be built for less, but
they tend to be less efficient. V irtually every industry more than a From the 19th century until well into
few decades old has had to endure the 1970s, the pulling power of loco-
That factories now cost so much is such phase changes. Although the semi- motives was continually increased in or-
one piece of widely cited evidence that conductor industry is obviously unique, der to lower the costs of moving freight.
formidable technical barriers are close. it is still subject to the principles of eco- Locomotives were a significant capital
But the fear that the barriers might be nomics and of supply and demand. expense, but gains in pulling power oc-
insurmountable, bringing the industry Therefore, the history of older, techni- curred more rapidly than increases in
to a halt, seems to us to be unfounded. cal industries, such as aviation, rail- cost did. Eventually, however, locomo-
Rather the prices of semiconductors may roads and automobiles, would seem to tive development costs became so great
increase, and the rate of change in the have episodes that could act as pointers that suppliers and users teamed up. The
industry may slow. about what to expect. Union Pacific Railroad, the largest rail-

72 Scientific American: The Solid-State Century Technology and Economics in the Semiconductor Industry
Copyright 1997 Scientific American, Inc.
road of its time, joined with General The semiconductor theme in all these industries, from rail-
Motorss Electro-Motive Division to roads to semiconductors, is that their
create the EMD DD-40, a monster that industry could flourish initial phase was dominated by efforts
turned out to be too big and inflexible to improve performance and to lower
for any purpose other than hauling as it encounters cost. In the three transportation indus-
freight clear across the U.S. Its failure tries, which are considerably more ma-
led the railroad industry back to the use technical barriers. ture, a second phase was characterized
of smaller engines that could be operat- by product refinement and diversity
ed separately for small loads but hitched similar to what is now starting to hap-
together for big ones. pen in chipmaking. Companies are shift-
Today the semiconductor industry ing their use of technology from lower-
finds itself in a position not unlike that he or she wanted, as long as it was black. ing manufacturing costs to enhancing
of the railroad companies just before Trends in automobile manufacturing product lines. The important point is
the EMD DD-40. The costs of develop- shifted to permit more conveniences, that all these industries continued to
ing the factories for future generations features and models. As the industry thrive in spite of higher manufacturing
of memory chips are so high that com- matured, Alfred E. Sloan of General costs.
panies have begun banding together into Motors recognized that efficiency was It may not be long before the semi-
different groups, each to attack in its no longer increasing with factory size conductor industry plateaus. The pace
own way the enormous problems posed and that big factories were good mainly of transistor integration will decline,
by fabricating these extremely dense for building large numbers of the same and manufacturing costs will begin to
chips economically. product. He therefore split the compa- soar. But as the histories of the aviation,
ny into divisions with clearly defined railroad and automobile industries sug-
Big Plants, Little Variety markets and dedicated factories to sup- gest, the semiconductor industry could
port them. Customers preferred the re- flourish as it encounters unprecedented

F rom automobile manufacturing,


too, come important lessons. In the
1920s Henry Ford built increasingly
sulting wider variation in designs, and
General Motors was soon gaining mar-
ket share at the expense of Ford.
and even largely impassable economic
and technical barriers. In a more ma-
ture industry, growth will almost cer-
more efficient factories, culminating with Similar scenarios are being played out tainly come from refined products in
the vast Rouge plant, which first built in chips. Intel split its 486 microproces- more diversified lines.
Model A cars in 1928. Starting with iron sor offerings into more than 30 varia- Information storage, and those soci-
ore, the facility manufactured almost tions. During the early 1980s, in con- etal functions dependent on it, will
all of the parts needed for automobiles. trast, the company offered just three keep moving forward. In fact, slowing
But by that time the automobile indus- versions of its 8086 microprocessor and the rate of progress in semiconductors
try had already changed, and Fords ef- only two versions of its 8088. Dynamic could have unexpected advantages, such
forts to drive down manufacturing costs memory chips are being similarly diver- as giving computer architectures and
by building larger and more efficient sified. Toshiba, for example, currently software time to begin assimilating the
plants came at the price of product va- has more than 15 times as many four- great leaps in chip performance. Even
riety. The old joke about Ford was that megabit DRAM configurations as it had in the semiconductor industry, maturity
the buyer could have a car in any color 64-kilobit ones in 1984. The common can be a splendid asset. SA

The Authors
G. DAN HUTCHESON and JERRY D. HUTCHESON have de- Motorola, Signetics, Fairchild and Teledyne Semiconductor. Dan
voted their careers to advancing semiconductor manufacturing. In started his career at VLSI Research as an economist, building sever-
1976 Jerry founded VLSI Research, Inc., as a technical consulting al simulation models of the manufacturing process. In 1981 he de-
firm. Dan, his son, joined in 1979. They have focused on analyzing veloped the first cost-based model to guide semiconductor compa-
how the interplay of technology and economics affects the business nies in their choice of manufacturing equipment. Dan serves on the
of semiconductor manufacture. Before founding VLSI Research, Jer- University of Californias Berkeley Extension Advisory Council and
ry, who entered the industry in 1959 as a device physicist, held vari- is a member of the Semiconductor Industry Associations Technolo-
ous positions in research, manufacturing and marketing at RCA, gy Roadmap Council.

Further Reading
Is Semiconductor Manufacturing Equipment Still Afford- Ion Beam Lithographies for Manufacturing, Vol. 2437; February
able? Jerry D. Hutcheson and G. Dan Hutcheson in Proceedings 1995.
of the 1993 International Symposium on Semiconductor Manu- Affordability Concerns in Advanced Semiconductor Man-
facturing. Institute of Electrical and Electronics Engineers, Septem- ufacturing: The Nature of Industrial Linkage. Donald A.
ber 1993. Hicks and Steven Brown in Proceedings of the 1995 International
SIA 1994 National Technology Roadmap for Semiconduc- Symposium on Semiconductor Manufacturing. Institute of Electri-
tors. Semiconductor Industry Association, 1994. cal and Electronics Engineers, September 1995.
Lithography and the Future of Moores Law. Gordon E. Solid State. Linda Geppert in IEEE Spectrum, Vol. 34, No. 1,
Moore in SPIE Proceedings on Electron-Beam, X-Ray, EUV, and pages 5559; January 1997.

Technology and Economics in the Semiconductor Industry Scientific American: The Solid-State Century 73
Copyright 1997 Scientific American, Inc.
Gigabit chips are now in the laboratory.
But the critical technology needed for manufacturing
smaller circuits confronts diminishing returns

Toward Point One


by Gary Stix, staff writer

A round the turn of the decade, semiconductor makers


will begin selling random-access memory chips ca-
pable of storing a billion bits of data. These giga-
bit chips, which have already been fabricated in the labora-
tory, will be made up of transistors with an electrical channel,
ment costs needed to bring x-ray lithography into the factory.
Champions of x-rays for making chips have not won many
converts, even among lithographers within their own compa-
nies. In fact, the high costs and technical uncertainties of x-
rays have prompted U.S. industry groups to contemplate spend-
or gate, measuring as small as 0.18 micron in length. Point ing $300 million to $500 million in an effort to bring more
one, as the leading decimal is known by the engineering cog- conventional optical lithography to the point where it might
noscenti, is small by any standard: it is about a thousandth be used in manufacturing a gigabit memory chip with a billion
the width of a human hair. transistors or a microprocessor that cycles at billions of times
The ability of the semiconductor industry to achieve this per second. I wont quote anybody, but I was in a meeting
milestone will depend on continuing advances in the greatest where people said that when we get out of optics were out
mass-production technique of all time. An electronic memory of the business, says Karen H. Brown, director of lithogra-
circuit will have gone from $10 in the 1950s down to a hun-
dred thousandth of a cent a few years from now, says Alec N.
Broers, head of the engineering department at the University of
Cambridge. Its gone further than any technology in history.
Yet by all accounts, it has become more challenging to make
chips faster and smarter by shrinking the size of transistors
squeezed onto a few square centimeters of silicon. Weve nev-
er had the physics confronted so dramatically as now, says
Richard R. Freeman, a lithography expert at Lawrence Liv-
ermore National Laboratory.
The physicists, chemists, engineers and materials scientists
who study the lithographic-imaging process at the heart of
chip manufacturing are having trouble deciding how to ad-
vance the technology. The smallest structural dimensions in
the most advanced generation of chip technology now being
introduced into the marketplace already measure 0.25 mi-
cronand they are getting smaller.
At dimensions near 0.1 micron, the photographic process
for developing a circuit image on the surface of a chip starts
to falter. Circuit patterns projected through giant $2-million
lenses blur easily on the chips surface. The ultraviolet light
focused on the chip gets absorbed before it can print images
of submicron transistors, capacitors and wires.
FAIRCHILD SEMICONDUCTOR

Photolithography has progressed beyond the most opti-


mistic predictions. But if the light finally fades, lithographers
may grudgingly have to consider a technology on which nu-
merous corporate and university research careers have turned
during a span of more than two decades. Lithography using
the short, nanometer wavelengths of x-rays may be the only SMALL GETS SMALLER as witnessed in a then and now com-
means of fashioning circuits with billions of transistors. Sev- parison of two transistors. The first planar transistor, vintage
eral years ago a small group of U.S. companies, including 1959, measured 764 microns in diameter and could be seen with
IBM and Motorola, launched an effort to share the develop- the naked eye (left, viewed from above). One contemporary

74 Scientific American: The Solid-State Century Toward Point One


Copyright 1998 Scientific American, Inc.
phy for Sematech, the U.S. semiconductor industrys research one another, wires must be stacked like a multitiered free-
and development consortium. wayelectrical resistance in these small-diameter wires and
Strains between these factions have sometimes led to a tug- the distance a signal must travel slow operating speeds. Engi-
of-war to obtain scarce government funding. Money spent neers must stand guard against 60-nanometer particles, so-
on x-ray lithography has come at the expense of advanced called killer defects that can ruin the memory or brain of a
optical lithography, says John R. Carruthers, director of smart device. Building chips this small requires very large
components research at Intel. If some means can be found to factories: state-of-the-art manufacturing facilities are headed
let optical technology prevail, the huge x-ray lithography de- toward a price tag of $2 billion. Of all these hurdles, howev-
velopment effort may be written off without its ever having er, one of the most daunting is posed by the attempt to wring
produced a transistor sold on the commercial market. more out of lithography.
Tensions between advocates of the two technologiesand Photolithography is a hand-me-down from a printing pro-
inherent doubts about the myriad other technical problems cess invented in the 18th century by a German map inspec-
to make circuits with such small dimensionsmean that the tor. It channels light through a mask: a plate of quartz cov-
relentless three-year cycles for introducing a new generation ered with chromium lines that trace a circuit pattern. The
of memory circuits and microprocessors may start to slow. light subsequently moves through one of the worlds most so-
Worldwide this trend could have a dramatic effect on a $150- phisticated optical devices, a series of 30 or more lens ele-
billion semiconductor industry that is projected to double in ments that can retail for almost $2 million. These demagnify-
revenues by 2000. The industry may have to find ways of ing lenses reduce the image to one quarter or one fifth its
achieving productivity gains beyond making tinier compo- original size and project it onto a few square centimeters of a
nents. Otherwise, the steep decrease in costs for a unit of mem- wafer, a silicon disk roughly 200 millimeters across. The light
ory or logic in each successive chip generation could disappear. exposes a micron-thick photosensitive polymera photore-
From the standpoint of basic physics, the dominant type of sistthat is spread over the surface of the wafer. The table on
chip, the metal oxide semiconductor, might continue to oper- which the wafer sits then steps to position another area be-
ate down to dimensions of 0.03 micron, about a tenth the size low the beam. (For that reason, the lithography equipment is
of the most advanced circuitry being manufactured in the fac- called a step-and-repeat tool or, simply, a stepper.)
tory. Below that scale it may be difficult to turn off the tiny In the next stage of processing, developing chemicals wash
switches called transistors. They would act less like switches away either the light-exposed or the unexposed parts of the
than leaky faucets: an electron may move uncontrollably from photoresist (depending on the needs of the chipmaker). The
one side of a transistor to another. circuit pattern on the resist gets transferred to the surface of
But manufacturing difficulties could cause the technology the wafer by the action of etching chemicals. Lithography
to expire before then. When millions of transistors are linked to serves multiple purposes. Besides just designating the parts of
a transistor, it also delineates where impurities, such as boron
or arsenic, should be implanted into a chip to alter the elec-
trical conductivity of circuit elements, a process called dop-
ing. Lithography can also define the areas to place metal
wires to connect circuit elements. The finished wafer is then
cut up into individual chips that are packaged in a ceramic or
plastic covering.

Limits of Lithography

T he gigabit-chip generation may finally force technolo-


gists up against the limits of optical lithography. To
make these chips, the industry has targeted lithography that
0.4 MICRON uses a pulsed (excimer) laser that emits light at a wavelength
as small as 0.193 micron, in the deep ultraviolet segment of
the electromagnetic spectrum. But for wavelengths below 0.2
micron, the photoresists absorb so much of the light that it
takes more time to transfer a pattern to a chip. It may be im-
possible to process economically the many hundreds of
wafers an hour produced in a large factory.
The few materials that have been identified for 0.193-mi-
cron lens manufacturing perform poorly. The fused silica
glass for lenses tends to absorb the illumination and to heat
up, which can degrade an image by changing the angle at
INTEL CORPORATION

which the lens refracts the light.


Lithographers confront the formidable task of building
structures smaller than the wavelength of light. Wavelengths
of 0.248 or 0.193 micron have been targeted to make the
transistor, shown in profile (right), measures about two microns 0.180-micron structures for gigabit chips. Think of it as try-
across and has elements as small as 0.4 micron. Still other tran- ing to paint a line that is smaller than the width of the paint-
sistors in a newer generation of commercial chips incorporate brush, says Steven D. Berger, director of engineering at Inte-
0.25-micron features. grated Solutions, a stepper manufacturer. There are ways of

Toward Point One Scientific American: The Solid-State Century 75


Copyright 1998 Scientific American, Inc.
doing it, but not very many ways of do- prove line resolution by 50 to 100 per- of a lithography system using light with
ing it in a controlled manner. The thing cent. These phase-shift masks are ex- a wavelength of 0.193 micron. But pro-
with lithography is not doing it once. pensive and difficult to make and can- totypes are not enough. They make im-
Its doing it 1010 times in one second. not be used for all the geometric patterns ages; they print stuff, says Sematechs
Other problems loom when working printed on the resist. Intel, however, is Brown. We have to go past that to
at these short wavelengths. Chipmakers contemplating using some of these tech- make commercial masks and resists you
must contend with a basic law of optics niques, beginning in 1999, to make a can buy. For that reason, Sematech has
known by any photographer. A wide new microprocessor whose smallest di- banded with industry, academia and
lens aperture increases resolutionthe mensions measure 0.18 micron. government to explore the building of a
strands of a childs hair or tinier chip This depth-of-field problem has caused manufacturing base to make chips with
components stay clearly imaged. At the chemists to consider novel approaches light from the outer reaches of the ul-
same time, depth of field decreases. For for the photoresist. Surface imaging al- traviolet spectrum.
the amateur photographer, this trade- lows only 0.2 micron or so of the top lay- Research on 0.193-micron lithogra-
off means that the cabin in the back- er of resist to be exposed, instead of the phy has lagged behind schedule for
ground gets a little fuzzy. For the pho- more typical one-micron depth. After the bringing gigabit chips to market in the
tolithographer, watching over lenses resist polymer is exposed, it is put into early part of the next decade. Whether
with giant apertures, the focus of the a vacuum chamber and comes into con- the process can produce millions of chips
projected image starts to fade at distanc- tact with a gaseous compound that con- a year remains unknown. Theres a
es well below a micron. It fails to re- tains silicon. In one type of resist the chance that 0.193 may prove to be im-
main sharp down into the jagged Hi- nonexposed areas absorb the silicon, practical, says David A. Markle, vice
malayan microtopography of a gigabit which acts as a barrier that protects the president of advanced technology for
chip. As a result, the number of defec- underlying layer from a gas of oxygen Ultratech Stepper, a California equip-
tive chips could skyrocket. If the yield ions. The gas etches away the photoex- ment maker. We need to introduce a
falls off, you wouldnt have a cost-effec- posed sections on the chip. It also etch- new technology that ultimately supplants
tive system, says David C. Shaver, head es more deeply into the exposed areas conventional optical technology, and
of the solid-state division at the Mas- of the resist than just the thin layer that its not clear what it will be.
sachusetts Institute of Technology Lin- was initially exposed. Besides improv-
coln Laboratory. ing resists, chip manufacturers also try X-ray Visions
Light specialists contemplate using to deal with the depth-of-field problem
what they call tricks, which allow print-
ing of smaller features without reducing
depth of field. One scheme employs
by polishing, or planarizing, the top lay-
er on a chip with a chemical slurryit is
easier to focus on a flat surface.
A group of researchers who have been
closeted in laboratories for decades
hope that lithography involving x-rays
masks that alter the phase of the light Researchers at the M.I.T. Lincoln Lab- can succeed if conventional optics fail.
passing through them, which can im- oratory have demonstrated a prototype X-ray lithography has been nurtured by
the Department of Defenses desire for
high-performance chips and by IBM, a
company that once took it upon itself
SEMICONDUCTOR INDUSTRY ASSOCIATION; STEVEN STANKIEWICZ

16 to develop new semiconductor manu-


ULTRAVIOLET LIGHT (0.365-MICRON WAVELENGTH) facturing technology. For its part, IBM
has acknowledged that it can no longer
MEMORY CHIP CAPACITY (IN MILLIONS OF BITS)

tread this route alone. It joined with


64
Motorola, Lucent Technologies and
ULTRAVIOLET LIGHT (0.248 AND 0.365 MICRON) Lockheed Martin Federal Systems in
setting up a collaboration to move x-
256 ray lithography beyond the laboratory.
ULTRAVIOLET LIGHT (0.248 MICRON) In principle, the technology should be
a natural choice for drawing finer circuit
1,000 elements. At roughly one nanometer (a
DEEP ULTRAVIOLET LIGHT (0.193 AND 0.248 MICRON), X-RAY billionth of a meter), x-rays have a wave-
length about one four-hundredth that of
4,000 the light used in todays most advanced
DEEP ULTRAVIOLET LIGHT (0.193 MICRON), X-RAY,
commercial systems.
ELECTRON BEAM, SOFT X-RAY The technology for producing and
harnessing x-rays is considerably differ-
16,000
ent from that currently installed on semi-
X-RAY, ELECTRON BEAM, SOFT X-RAY conductor fabrication linesand that is
1993 1995 1997 1999 2001 2003 2005 2007 2009 where the debate over the feasibility of
x-rays begins. Whereas the radiation for
R&D PILOT PRODUCTION
optical lithography can be generated by
LITHOGRAPHY DEVELOPMENT in the U.S. calls for increasingly smaller wave- lasers, the necessary x-rays will most
lengths of light and other forms of electromagnetic energy to produce chips with ever likely emanate from a synchrotron, an
larger memory capacity. The procession of chip generations will require moving to ever energy source usually deployed for phys-
smaller wavelengths of ultraviolet light and then perhaps to x-rays or electron beams. ics experiments. IBM owns the only

76 Scientific American: The Solid-State Century Toward Point One


Copyright 1998 Scientific American, Inc.
MASK MERCURY
ARC LAMP
OPTICAL
ALIGNMENT
SYSTEM
MASK ILLUMINATION SYSTEM
COMPUTER
CONTROL
STATION

REDUCTION
LENS

WAFER

POSITION
MEASUREMENT
SYSTEM

ROBOTIC
WAFER
ASM LITHOGRAPHY

HANDLER
STEPPER
POSITIONING
TABLE

STEPPER, or photolithography machine, imprints circuit pat- circuit. A sophisticated lens apparatus reduces the image and
terns on silicon wafers. Ultraviolet light from an arc lamp (or projects it onto part of a wafer. The table then moves, or
from a laser) passes through a mask bearing the image of the steps, to expose other chips.

commercial synchrotron storage ring in million for such x-ray generators should redesign the entire plant around the x-ray
the U.S. It consists of two superconduct- not deter their purchase. Those amounts lithographic process. One problem is that
ing magnets whose field confines elec- are 3 percent or less of the cost of the if a company wants to make a small in-
trons within a closed orbit. Electrons newest semiconductor plants. Moreover, crease in plant capacity, that, too, costs
emit x-rays as they circulate within the a synchrotron can supply x-rays to 16 $20 million.
storage ring. (Several Japanese compa- steppers simultaneously. For a sizable Another technical obstacle springs
nies are also working on x-ray lithogra- increase in plant capacity, a $20-million from the lack of a commercially feasible
phy development.) outlay is not unreasonable. A more im- way to focus x-rays. Given that x-ray step-
The price tag of $20 million to $50 posing challenge stems from the need to pers lack the equivalent of lenses (or

PATTERNING A TRANSISTOR in- HARDENED


POLYCRYSTALLINE
STEVEN STANKIEWICZ

volves photolithography in which light is PHOTORESIST


projected through the clear parts of a SILICON
MASK
quartz mask (left). The photoresist, a
polymer coating, reacts to the light; ex-
posed areas are then removed with a sol- PHOTO-
vent. A plasma of ions etches through the RESIST
unprotected polycrystalline silicon layer
and the silicon dioxide insulating layer
(center); the rest of the photoresist is re- POLY-
moved. The silicon (pink area) is im- CRYSTALLINE
planted with impurities, such as arsenic. SILICON
Free electrons in this negatively doped SILICON
DIOXIDE POSITIVELY NEGATIVELY
area conduct current (right). DOPED SILICON DOPED SILICON

Toward Point One Scientific American: The Solid-State Century 77


Copyright 1998 Scientific American, Inc.
equivalently demagnifying mirrors), the Work on x-ray wrote Eberhard Spiller, a pioneer of the
masks must bear more of the engineer- technology, in a 1993 issue of the IBM
ing burden: each circuit element outlined technology was Journal of Research and Development.
by the mask has to be the same small size
as the structure to be created on the chip. done too early, Alternative Technology
The inability to reduce the image also
wrote x-ray pioneer
complicates the process of aligning one
mask image atop another. Making a gi-
gabit chip requires 20 or so lithograph- Eberhard Spiller.
L ithographers might consider x-ray
technology more favorably if this
form of radiation could be demagnified
ic steps, each with a separate mask. Up through a lens. A program to devise an
to eight of these steps dictate that the x- x-ray lens is the goal of one of the most
ray mask alignments be precise to with- advanced research efforts in lithography.
in a few tens of nanometersa difficult This projection x-ray system tries to
mechanical tolerance to meet. like the New York City skyline, says apply to chipmaking, a technology de-
Nevertheless, IBM has set about tack- Larry F. Thompson, vice president of veloped, in part, for the Strategic De-
ling the most imposing challenge to the technology development for Integrated fense Initiative.
technologys success. It received funding Solutions, a stepper manufacturer. X-ray lithography research is also in-
from the Defense Advanced Research Uncertainties about optical lithogra- tended to meet the policy goal of hav-
Projects Agency (DARPA) to set up a fa- phy may keep x-rays going. But time ing the governments nuclear weapons
cility to fabricate commercial x-ray may be running out. Motorola and and energy laboratories assume a post
masks. Materials that absorb x-rays are Lockheed Martin Federal Systems have cold war role that includes some of the
hard to find. Gold and tungsten will do lent a lingering legitimacy to a develop- basic research duties once fulfilled by Bell
the job. But these metals must be laid ment effort carried for years by IBM, Labs and IBMs laboratories. The laser
down in awkward dimensions atop a which had started to lose support from and measurement expertise of the na-
membrane of silicon through which the government funding agencies. IBM, af- tional labs might be adapted to research-
radiation is transmitted. A gold circuit ter spending a few hundred million dol- ing lithography.
feature may have to be 0.4 or 0.5 mi- lars on x-rays, looks back with some Three national laboratoriesSandia,
cron high to absorb the x-rays but only regret. In hindsight, our work in x-ray Lawrence Berkeley and Lawrence Liv-
0.10 micron wide. It begins to look lithography was done much too early, ermorehave spearheaded an effort to
develop a projection x-ray system for
exposing chip elements of 0.1 micron or
less. They have worked with Intel and
some other companies to provide sup-
port, expertise and testing facilities for
this program. The consortiums ap-
proach is to train a high-powered laser
onto a metal target to generate x-rays,
which then illuminates a reflective mask.
The resulting energy bounces among a
series of mirrors that reduce the image
to the size of the chip on the wafer. (Ni-
kon and Hitachi are also researching
this technology.)
Making microprocessors commercial-
ly with this system may be as onerous
as tracking and shooting down an in-
coming ballistic missile. By alternating
layers of silicon and molybdenum, re-
searchers have successfully created ma-
terials that reflect x-rays instead of ab-
sorbing them. They are nonetheless left
with the burdensome task of polishing
and coating the mirrors to angstrom-lev-
el specifications. They must maintain
this level of smoothness for each mirror
over an area of many square centimeters.
It is a sign of the times that whereas
ALAN D. WILSON IBM

researchers formerly referred to the ra-


diation used in these experiments as
soft x-rays, they now label it extreme
ultraviolet. The name change reflects
SYNCHROTRON, the only one of its kind in the U.S. designed for commercial man- the stigma that has come to be attached
ufacturing, is unloaded into IBMs East Fishkill, N.Y., facility on March 29, 1991. to x-ray lithography.

78 Scientific American: The Solid-State Century Toward Point One


Copyright 1998 Scientific American, Inc.
NATIONAL NANOFABRICATION FACILITY, CORNELL UNIVERSITY

FUTURE LITHOGRAPHY is presaged by this 200-micron-


wide motor (left) from Cornell University that incorporates a
20-nanometer-diameter silicon tip (close-up shown at right).
The machines ability to move the tip up, down or sideways
could make it a forerunner of lithographic tools that incorpo-
rate many tips for patterning a chip surface.

Surprisingly enough, the technology sensing the position of individual atoms. equipment that can be bought by lead-
that can make the smallest chip struc- Conventional optical lithography can ing chip manufacturers.
tures is already used every day in man- make such tools. It sketches the outlines Perhaps only one or two of these tech-
ufacturing. Electron-beam lithography for hundreds or even thousands of nologies will make it to the factory floor.
employs a focused pencil of charged cathodes on silicon. When a voltage is It simply costs too much to fund any
particles to draw lines directly on a pho- applied to the cathodes, they generate more than that. Expenses for big-ticket
toresist. Indeed, companies sketch circuit beams of electrons, which can draw cir- lithography may require industry col-
patterns onto photolithographic masks cuit lines less than 0.05 micron wide. laborations of competing suppliers,
with this technique. For 20 years, engi- Noel C. MacDonald, a professor of elec- whether their corporate headquarters is
neers have dreamed of marshaling it for trical engineering at Cornell University, in Tokyo or Silicon Valley.
high-volume lithography. Unfortunate- has built an array of 1,000 cathodes, Design ingenuity may overtake the
ly, electron beams are achingly slow: a providing the makings for an electron- drive to craft diminutive physical at-
pencil beam must draw each element of beam machine on a chip. tributes. Chips can be made bigger to
a circuit pattern individually, rather than MacDonald foresees employing the hold more components. Horizontal lay-
exposing the entire chip surface in a flash technology in making masksand per- ers of transistors could be stacked one
of light. It can take hours to make single haps later for actually building small atop the other on the same chip to in-
chipstoo long for mass production, chips. MacDonald, with students Yang crease memory or logic density. All the
although some high-performance elec- Xu and Scott A. Miller, has also demon- while the size of individual chip ele-
tronics that use nonsilicon materials are strated how a scanning tunneling micro- mentsa transistor or capacitormay
made this way. scope can be integrated with motors 200 remain the same.
Since the late 1980s Bell Labs has microns wide, which are also fabricated The art and science of lithography
studied a method that scans a broad with photolithographic methods. The may be reaching a mature stage. Mo-
electron beam across a chip. As in pho- sharpened tip of the scanning tunneling torola or Intel might learn by consult-
tolithography, the radiation gets pro- microscope has been used in research ing with retired Boeing executives. In
jected through a mask, and the image is laboratories to push around atoms. The constant dollars, air travel is practically
reduced with a lens. Lucent Technolo- micromotors might let an array of free compared with its cost 35 years
gies, the parent of Bell Labs, considers tipsthousands or even a millionpat- ago. But speed of flight has not experi-
this scanning-beam technique to be the tern a surface rapidly enough for com- enced a similar progression. The ex-
most promising for long-term lithogra- mercial manufacture of circuit lines of pense of building and flying supersonic
phy. Still far ahead is a lithographic but a few nanometers. transports means there are very few of
technique that could promote the em- these airplanes carrying passengers to-
bryonic science of nanotechnology. In Graduate Research day. Were flying at the same speed
theory, microscopic tools might fashion that the 707 flew in 1958, says Law-
the tiniest silicon transistors, those whose
smallest dimensions measure only a few
tens of nanometers. They might also
A rrays of cathodes or scanning tun-
neling microscopes are examples
of the most advanced research projects
rence Livermore lithography expert
Richard Freeman. I think the same
kind of thing could conceivably happen
design new types of electronic devices in lithography anywhere. But they are here. In other words, point one
that store or process information by still graduate-level research projects, not may be the chip industrys Mach 1. SA

Toward Point One Scientific American: The Solid-State Century 79


Copyright 1998 Scientific American, Inc.
PROFILE

Ta c k l i n g T y r a n n y
by Alan Goldstein

T he only useful thing I was doing was thinking,


recalls Jack Kilby in his slow midwestern drawl. A
lanky man at six feet, six inches, Kilby leans back
in his chair with his hands behind his head and describes the
summer that would make him an electronics legend.
The oppressive heat had arrived earlier than usual in Dal-
nected, or integrated; no matter how complex the circuit,
nothing would have to be wired together. The tyranny of
numbers would be broken.
Like many great inventions, the monolithic integrated cir-
cuit, or microchip, seems simple in retrospect. But it was any-
thing but obvious at the time. When his colleagues came back
las in 1958. Kilby, then a 34-year-old electrical engineer, had from vacation, Kilby showed off his notebook sketches. His
been left on his own in the semiconductor laboratory at boss was skeptical but did approve development of a model.
Texas Instruments, assigned to solve a problem
that was stalling the entire electronics industry.
The difficulty was called the tyranny of num-
bersa succinct name for a complicated prob-
lem. The transistor, invented 10 years earlier, made
it theoretically possible to build a powerful com-
puter the size of a wristwatch. Unfortunately, such
a computer could not be manufactured, because
no one had figured out how to link together the
vast number of required components. (Todays ad-
vanced computer chips, for instance, contain mil-
lions of transistors on a surface not even half the
size of a postage stamp.)
At the time, the best available method for as-
sembling the electronicssoldering the myriad
connections by handproduced computers that
filled entire rooms but had limited capabilities.
Computational rates were slowed by the delay of
electronic signals traveling through miles of wiring
to complete a circuit. Not only was the soldering
method expensive, but some electrical connections
were inevitably flawed, forcing engineers to design
redundant wiring routes that only exacerbated the
tyranny of numbers.
Hired by Texas Instruments to tackle this obsta-
cle, Kilby moved his wife and two daughters from
Milwaukee (where he had been designing electron-
ic circuits for the company Centralab) to Dallas in
May 1958. The adjustment was tough: their
apartment was cramped, and they didnt much
like the area at first. During that first summer on
the job, Kilby was alone in the office not by choice
but because of company policyas a newcomer,
Kilby didnt have the seniority to participate in the
staffs mass vacation exodus in July.
The idea that ushered in an age of notebook
computers, pocket cellular phones and numerous
other applications did not come in a single brilliant
flash. Instead Kilby recalls that he built on one
small advance after another until he came up with
DANNY TURNER

the notion of making all parts of the circuit out of


a single block of material, such as silicon. That
way, he figured, all the components would be con-

80 Scientific American: The Solid-State Century Tackling Tyranny


Copyright 1997 Scientific American, Inc.
Jack Kilby,
one of the inventors
of the integrated
circuit, recalls a hot
summer in Dallas that
On September 12, 1958, a group crochip to the average person led to
gathered in Kilbys area of the lab. Kil- changed the electronics the first handheld calculator.
by remembers being nervous as he By 1970, though, Kilby was frustrat-
hooked the wires from a battery to his ed with life in a big corporation and
small monolithic circuit and from the
industry forever convinced that creativity required more
circuit to an oscilloscope. He took a freedom. So he left to work on his
deep breath and flipped a switch. A own. None of the patents Kilby has
green line undulated in a perfect squiggly wave. Everyone received since leaving corporate life, however, have brought
smiled. The chip was born. the financial or even the technical success of either the inte-
All these years later Kilby, now 73 and grated circuit or the handheld calculator. Kilbys independent
working as a consultant in a spartan office efforts have included a system for avoiding unwanted tele-
a few miles from Texas Instruments head- phone calls as well as an electronic checkbook, both of which
quarters in Dallas, has a different perspec- have now been superseded by other technologies.
tive. There was never any real question Rather than work on new inventions, Kilby now spends
whether it would work, he says confident- most of his time helping clients overcome technological ob-
ly. I thought if it were successful, it would staclesa role reminiscent of his assault on the tyranny of
have an impact on the world of electronics numbers. He declines to disclose which companies he collab-
as we knew it thenradio, TV and comput- orates with or to offer much detail about his current work.
ers. I had no idea how it would expand the Kilby lives simply, although a Lexus coupe and a nice home
world of electronics. in prosperous north Dallas are evidence of financial comfort.
Indeed, a decade passed before people re- Does he think that his legacy, the integrated circuit, has im-
alized the importance of the chip. And Kil- proved our lives? Well, its certainly changed it, and I sus-
by had to fight to receive credit. A few pect for the better, Kilby responds. Communication be-
months after he demonstrated the integrat- tween people has become much easier. Cell phones, that sort
ed circuit, the same idea occurred to Robert of thing, are now very common. With characteristic mod-
N. Noyce, an engineer working at a young esty, he quickly adds: If you dont like the telephone much,
company in northern California called Fair- and I dont, you may not consider that a plus.
child Semiconductor Corporation. Ever the pragmatist, Kilby is more enamored of solving
Both men filed for patents. Although Kil- problems than he is taken with technology. His computer is a
by had the paperwork to show he was first, Dell 386 model that is several generations old. This one is
his application contained gaps and ambigu- still doing everything that I want it to, he assures me. If I
ities. The result was a protracted legal fight bought a new one, Id have to learn new software.
that ended in a draw. Although the courts Kilby scoffs at some of the future concepts that are kicked
leaned toward Noyce, Kilby and Noyce are around by high-tech executives, like wallet-size PCs or chips
regarded among engineers as co-inventors incorporated into peoples clothing that would exchange in-
of the chip. Noyce went on to help start the formationan electronic business card, in a sensewith a
chip-manufacturing company Intel Corpo- handshake. It seems boring, not a great way to start a con-
ration and become fabulously wealthy in versation, although it certainly could be done, he says.
the process. (He died of a heart attack in Kilby is more concerned that the rapid pace of advances in
1990 at the age of 62.) chip technology will slow. A modern semiconductor factory
But the executive suite wasnt for Kilby, a costs around $1 billion, and the price tag has steadily risen as
quiet man who didnt care for office politics. chips have become more complex. Eventually, he speculates,
After demonstrating the integrated circuit, a the cost may exceed the benefits, and something else will
series of promotions eventually made him have to replace the integrated circuit.
deputy director of the semiconductor re- What do we know about what this successor might be?
search program at Texas Instruments. In Almost nothing, he shrugs. That invention will be the
1965 an effort to prove the utility of the mi- challenge for someone else. SA

JACK KILBY helped to usher in the age of mi-


crocomputers. Here he holds a vacuum tube ALAN GOLDSTEIN is a technology reporter for the
(left) and a modern integrated circuit (right). Dallas Morning News.

Tackling Tyranny Scientific American: The Solid-State Century 81


Copyright 1997 Scientific American, Inc.
Silicon may be Gods gift, but to see the light,
youll need gallium arsenide, or indium phosphide,
or aluminum indium gallium phosphide, or....

THE SEMICONDUCTING
MENAGERIE
by Ivan Amato

J ust as the Stone Age, at technolo-


gys dawning, was actually an
age of stone and bone and hide
and wood and whatever else our ances-
tors found to be useful, this Silicon Age
ics division of Spire Corporation, a high-
tech company based near Boston. Like
the majority of those working on non-
silicon semiconductors, Serreze and his
colleagues concentrate on the so-called
of ours is much more than its moniker III-V semiconductors, which are made
suggests. Gallium arsenide, indium phos- by mixing and matching one or more
phide, aluminum indium gallium phos- elements from columns III and V of the
phide and mercury cadmium telluride periodic table of chemical elements. The
are but a few of the major players in an usual suspects from column III are alu-
ever expanding cast of semiconducting minum, gallium and indium; column V
characters that have been finding roles staples include nitrogen, phosphorus
in exotic or ultraefficient lasers and and arsenic. The lure of III-V compound
blazingly fast electronic circuits. semiconductorsand their even more
VITESSE SEMICONDUCTOR

Those who think they have never seen exotic II-VI cousinsis that each has
or used a nonsilicon semiconductor are its own set of intrinsic and potentially
wrong. Remember those funky red-light- useful electronic or optical traits.
emitting diodes (LEDs) and watch and Underlying these properties is the
calculator displays that first started ap- materials so-called band gap. This term
pearing in the late 1960s? They were INTEGRATED CIRCUIT of gallium ar- refers to a kind of forbidden territory
based on such materials as gallium ar- senide contains 25,000 transistors and is for electrons associated with the atoms
used in communications systems.
senide phosphide and gallium phosphide. of a crystal. Below the band gap is the
And LEDs were just the beginning. Prac- valence band, a range of lower-energy
tically every compact-disc player con- lar telephones operate at frequencies at electron states in which the electrons in
tains a two-dollar, mass-produced semi- the edge of, or beyond, the capabilities the crystal remain closely associated
conductor laser, the stylus that bounc- of silicon circuitry. Thus, most cellular with a specific atom in the crystal.
es off the CDs microcode of pits and telephones have gallium arsenide cir- Above the band gap is the conduction
spaces before shining onto semiconduc- cuitry, within which electrons move fast- band, consisting of higher-energy states
tor photodetectors for eventual conver- er than they do inside silicon, enabling in which electrons are no longer associ-
sion into sound. The heart of this laser higher-frequency operation. Hardwired ated with individual atoms and can
is an exquisitely thin stripe of gallium telephone systems, too, rely on nonsili- flow relatively freely. Hence, the band
arsenide sandwiched between slices of con semiconductors. Costly high-quali- gap is the amount of energy, measured
gallium aluminum arsenide, which is ty semiconductor lasers made of indi- in electron volts, that is required to
more electrically insulating. The infra- um gallium arsenide phosphide, for ex- cause electrons to leap from the valence
red light emitted from this sandwich is ample, send light-encoded data and band, over the band gap and into the
produced when electrons and positively voice signals down optical fibers. conduction band.
charged electron deficiencies (called What most of these applications have Once in the conduction band, the elec-
holes) recombine, annihilating one an- in common is that they are all related to trons can carry current or fall back
other and releasing photons. the generation and detection of light. across the band gap to the valence band.
Telephony is another stronghold of The one thing that silicon cannot do is When this latter event occurs, the elec-
nonsilicon semiconductors. In order to produce light, laments Harvey Serreze, tron typically falls into an empty bond-
transmit effectively at low power, cellu- operations manager of the optoelectron- ing site, or electron deficiency, vacated

82 Scientific American: The Solid-State Century The Semiconducting Menagerie


Copyright 1997 Scientific American, Inc.
by another electron. This phenomenon, Whatever you call this development at Vitesse Semiconductor
known as recombining with a hole, Corporation, one of the largest suppli-
causes a photon to be emitted. Exploi- technological age, ers of gallium arsenide chips.
tation of these recombinations is the At least one company did bring a gal-
basic principle behind almost all semi- semiconductors of many lium arsenidebased, high-performance
conductor lasers. computer to market. In the early 1990s
The key feature in all this activity is flavors will infiltrate ever Convex Computer Corporation in Rich-
the band gap. The energy, and therefore ardson, Tex. (now the Convex Division
the wavelength, of the emitted photons
more of its nooks of Hewlett Packard), shipped more than
is a function of the band gap: the wider and crannies. 60 such machines, each of which sold
this gap, the greater the energy involved for several million dollars. According to
in falling back across it and the shorter Steve Wallach, who leads the division,
the wavelength of the emitted photon. Convexs initial tack was to build their
The band gap also determines whether machines using several cooler-running,
and under what conditions the semi- vantage of band-gap engineering is that lower-power gallium arsenide chips,
conductor can detect light and under it allows researchers to get a desired which were also made by Vitesse. The
what range of temperatures it will be band gap by varying a crystals layer-by- problem, however, was that Vitesse
able to serve as a reliable part of a tran- layer architecture, rather than by con- could not produce the chips with a high
sistor in, say, a computer chip. cocting some very esoteric compound enough yield to meet Convexs needs
The chemical composition of each that will most likely have undesirable (nor could anyone else, Wallach adds).
semiconductor determines its intrinsic properties that render it useless. Deyhimy, however, notes that some
band gap, but researchers have been Because the layer-by-layer structure, supercomputer companies are moving
coming up with ever more clever ways not the specific chemical composition, to hybrid architectures that combine
of coercing these materials to do what sets the band gap, engineers are free to the computing power of superdense sil-
they otherwise would not. By sprinkling use relatively mundane and well-be- icon chips with the swifter chip-to-chip
different amounts of nitrogen atoms into haved materials, such as gallium arsen- data-shuttling capabilities of gallium
gallium phosphide crystals, for example, ide, gallium aluminum arsenide and in- arsenide. Our most complex part in
materials researchers can alter the crys- dium phosphide. With quantum-me- production has 1.4 million transistors,
tals band gap so that it emits either red chanical reasoning and calculations to he says, pointing out that even if galli-
or green light. Such techniques have guide them, these band-gap engineers um arsenides role in microprocessing
given rise to most of the materialsand design crystals whose interiors are es- remains minor compared with silicons,
colorsin the huge, worldwide indus- sentially programmed to manipulate the material will grow impressively in
try for light-emitting diodes. It has also electrons passing through them. telecommunications, data communica-
led to an enormous menagerie of one- Even as nonsilicon semiconductors tions and other burgeoning information
of-a-kind materials and devices that stake their claim to more territory in industries that depend more on fast
make for respectable technical publica- technologys landscape, silicon rests se- switching speeds and high data rates or
tions but rarely have the right stuff for cure in its kingdom. For the time being, frequencies than on processing power.
lucrative ventures. at least, silicon remains the semicon- As far as gallium arsenide has come
People have gone through the peri- ductor of choice for applications such lately in the stratosphere of high-speed
odic table and have come up with exotic as computer chips, in which only elec- electronics for the communications
[semiconducting] compounds, most of tronic motion really matters and there world, it may very well have competi-
which are too tough to make, Spires is no emission of light. Silicon has tion one day from its old nemesis, sili-
Serreze remarks. Among the pitfalls are proved so fantastic for microelectronic con. The Defense Advanced Research
too many microscopic defects, which applications that solid-state scientists Projects Agency, for one, is funding sev-
put an end to light-emitting recombina- are often moved to speak of the ele- eral research groups that are combining
tions of electrons and holes, and too ment in unabashedly reverential terms. silicon with germanium or with germa-
much strain within the crystals, which Silicon is Gods gift to the world, ex- nium and carbon atoms in various semi-
shortens the materials useful lifetime. claims Wolfgang Choyke, a physicist at conductor alloys and quantum-well
To avoid the common disappointment the University of Pittsburgh. structures.
of finding coveted properties in imprac- Not that researchers havent tried and Whatever you call this technological
tical materials, theoreticians and exper- even come close to establishing a galli- age, semiconductors of many flavors will
imenters have fostered a golden age in a um arsenide beachhead in silicons mi- infiltrate ever more of its nooks and
field known as band-gap engineering. croprocessing stronghold. The legend- crannies. When it comes to predicting
Band-gap engineers grow fabulously ary computer designer Seymour Cray what might be possible, caution has
complex semiconductor crystals using used gallium arsenide chips in his last been the hazardous path. Says Serreze,
such sophisticated, state-of-the-art tech- machine, the Cray-3 supercomputer. The The naysayers are on the side of the
niques as chemical vapor deposition machine never got off the ground com- road, whereas the visionaries are speed-
and molecular-beam epitaxy. Not un- mercially, partly because of the intricate ing by. SA

commonly, these crystals consist of hun- and expensive cooling system needed to
dreds of layers, many no more than counter the heat produced by the chips. IVAN AMATO, a freelance writer
several atoms wide and each consisting That was such a huge undertaking that based in Silver Spring, Md., is the au-
of a chemical compound that the crys- it could not find customers, explains thor of Stuff, published earlier this year
tal grower essentially dials in. A key ad- Ira Deyhimy, vice president of product by BasicBooks.

The Semiconducting Menagerie Scientific American: The Solid-State Century 83


Copyright 1997 Scientific American, Inc.
The R evolution

3 Continues

It is plausible that we will see improvements in the next 25 years at

least as large as those seen in the past 50. This estimate means that one

desktop computer in 2020 will be as powerful as all the computers in

Silicon Valley today. (page 86)


TOM DRAPER

Copyright 1997 Scientific American, Inc. Scientific American: The Solid-State Century 85
Every 18 months microprocessors double
in speed. Within 25 years, one computer will be
as powerful as all those in Silicon Valley today

MICROPROCESSORS IN 2020
by David A. Patterson

U nlike many other technologies that fed our imagi-


nations and then faded away, the computer has
transformed our society. There can be little doubt
that it will continue to do so for many decades to come. The
engine driving this ongoing revolution is the microprocessor,
are added, and the assembly goes into an oven. Heat trans-
forms the toppings into transistors, conductors and insulators.
Not surprisingly, the processwhich is repeated perhaps 20
timesis considerably more demanding than baking a pizza.
One dust particle can damage the tiny transistors. So, too, vi-
the sliver of silicon that has led to countless inventions, such brations from a passing truck can throw the ingredients out
as portable computers and fax machines, and has added in- of alignment, ruining the end product. But provided that
telligence to modern automobiles and wristwatches. Aston- does not happen, the resulting wafer is divided into individu-
ishingly, the performance of microprocessors has improved al pieces, called chips, and served to customers.
25,000 times over since their invention only 27 years ago. Although this basic recipe is still followed, the production
I have been asked to describe the microprocessor of 2020. line has made ever cheaper, faster chips over time by churning
Such predictions in my opinion tend to overstate the worth out larger wafers and smaller transistors. This trend reveals an
of radical, new computing technologies. Hence, I boldly pre- important principle of microprocessor economics: the more
dict that changes will be evolutionary in nature, and not rev- chips made per wafer, the less expensive they are. Larger chips
olutionary. Even so, if the microprocessor continues to im- are faster than smaller ones because they can hold more tran-
prove at its current rate, I cannot help but suggest that 25 years sistors. The recent Intel Pentium II, for example, contains 7.5
from now these chips will empower revolutionary software to million transistors and is much larger than the Intel 4004,
compute wonderful things. which had a mere 2,300 transistors. But larger chips are also
more likely to contain flaws. Balancing cost and performance,
Smaller, Faster and Cheaper then, is a significant part of the art of chip design.
Most recently, microprocessors have become more power-

T wo inventions sparked the computer revolution. The first


was the so-called stored program concept. Every com-
puter system since the late 1940s has adhered to this model,
ful, thanks to a change in the design approach. Following the
lead of researchers at universities and laboratories across the
U.S., commercial chip designers now take a quantitative ap-
which prescribes a processor for crunching numbers and a proach to computer architecture. Careful experiments pre-
memory for storing both data and programs. The advantage cede hardware development, and engineers use sensible met-
in such a system is that, because stored programs can be eas- rics to judge their success. Computer companies acted in con-
ily interchanged, the same hardware can perform a variety of cert to adopt this design strategy during the 1980s, and as a
tasks. Had computers not been given this flexibility, it is result, the rate of improvement in microprocessor technology
probable that they would not have met with such widespread has risen from 35 percent a year only a decade ago to its cur-
use. Also, during the late 1940s, researchers invented the tran- rent high of approximately 55 percent a year, or almost 4 per-
sistor. These silicon switches were much smaller than the vacu- cent each month. Processors are now four times faster than
um tubes used in early circuitry. As such, they enabled workers had been predicted in the early 1980s; it is as if our wish were
to create smallerand faster electronics. granted, and we now have machines from the year 2002.
More than a decade passed before the stored program design
and transistors were brought together in the same machine, Pipelined, Superscalar and Parallel
and it was not until 1971 that the most significant pairingthe
Intel 4004 came about. This processor was the first to be built
on a single silicon chip, which was no larger than a childs
fingernail. Because of its tiny size, it was dubbed a microproces-
I n addition to progress made on the production line and in
silicon technology, microprocessors have benefited from
recent gains on the drawing board. These breakthroughs will
sor. And because it was a single chip, the Intel 4004 was the undoubtedly lead to further advancements in the near future.
first processor that could be made inexpensively in bulk. One key technique is called pipelining. Anyone who has done
The method manufacturers have used to mass-produce mi- laundry has intuitively used this tactic. The nonpipelined ap-
croprocessors since then is much like baking a pizza: the dough, proach is as follows: place a load of clothes in the washer.
in this case silicon, starts thin and round. Chemical toppings When the washer is done, place the load into the dryer. When

86 Scientific American: The Solid-State Century Microprocessors in 2020


Copyright 1997 Scientific American, Inc.
the dryer is finished, fold the clothes. at each stage of a pipeline. The buzz- and use 20 washers and 20 dryers to do
After the clothes are put away, start all word superscalar is commonly used 20 loads simultaneously. Clearly, parallel
over again. If it takes an hour to do one to describe this approach. A superscalar processing is a costly solution for small
load this way, 20 loads take 20 hours. laundromat, for example, would use a workloads. And writing a program that
The pipelined approach is much professional machine that could, say, can use 20 processors at once is much
quicker. As soon as the first load is in wash three loads at once. Modern su- harder than distributing laundry to 20
the dryer, the second dirty load goes into perscalar microprocessors try to perform washers. Indeed, the program must spec-
the washer, and so on. All the stages op- anywhere from three to six instructions ify which instructions can be launched
erate concurrently. The pipelining para- in each stage. Hence, a 250-megahertz, by which processor at what time.
dox is that it takes the same amount of four-way superscalar microprocessor can Superscalar processing bears similar-
time to clean a single dirty sock by ei- execute a billion instructions per sec- ities to parallel processing, and it is more
ther method. Yet pipelining is faster in ond. A 21st-century microprocessor may popular because the hardware automat-
that more loads are finished per hour. well launch up to dozens of instructions ically finds instructions that launch at
In fact, assuming that each stage takes in each stage. the same time. But its potential process-
the same amount of time, the time saved Despite such potential, improvements ing power is not as large. If it were not
by pipelining is proportional to the num- in processing chips are ineffectual un- so difficult to write the necessary pro-
ber of stages involved. In our example, less they are matched by similar gains grams, parallel processors could be made
pipelined laundry has four stages, so it in memory chips. Since random-access as powerful as one could afford. For the
would be nearly four times faster than memory (RAM) on a chip became wide- past 25 years, computer scientists have
nonpipelined laundry. Twenty loads ly available in the mid-1970s, its capac- predicted that the programming prob-
would take roughly five hours. ity has grown fourfold every three years. lems will be overcome. In fact, parallel
Similarly, pipelining makes for much But memory speed has not increased at processing is practical for only a few
faster microprocessors. Chip designers anywhere near this rate. The gap be- classes of programs today.
pipeline the instructions, or low-level tween the top speed of processors and In reviewing old articles, I have seen
commands, given to the hardware. The the top speed of memories is widening. fantastic predictions of what computers
first pipelined microprocessors used a One popular aid is to place a small would be like in 1997. Many stated that
five-stage pipeline. (The number of stages memory, called a cache, right on the optics would replace electronics; com-
completed each second is given by the microprocessor itself. The cache holds puters would be built entirely from bio-
so-called clock rate. A personal comput- those segments of a program that are logical materials; the stored program
er with a 200-megahertz clock then ex- most frequently used and thereby allows concept would be discarded. These de-
ecutes 200 million stages per second.) the processor to avoid calling on exter- scriptions demonstrate that it is impossi-
Because the speedup from pipelining nal memory chips much of the time. ble to foresee what inventions will prove
equals the number of stages, recent mi- Some newer chips actually dedicate commercially viable and go on to revo-
croprocessors have adopted eight or more transistors to the cache than they lutionize the computer industry. In my
more stage pipelines. One 1997 micro- do to the processor itself. Future micro-
processor uses this deeper pipeline to processors will allot most resources to
achieve a 600-megahertz clock rate. As the cache to better bridge the speed gap.
SILICON WAFERS today (background)
machines head toward the next century, The Holy Grail of computer design are much larger but hold only about half
we can expect pipelines having even is an approach called parallel process- as many individual chips as did those of
more stages and higher clock rates. ing, which delivers the benefits of a sin- the original microprocessor, the Intel 4004
CHARLES OREAR

Also in the interest of making faster gle fast processor by engaging many in- (foreground). The dies can be bigger in
chips, designers have begun to include expensive ones at the same time. In our part because the manufacturing process
more hardware to process more tasks analogy, we would go to a laundromat (one stage shown in inset) is cleaner.

Copyright 1997 Scientific American, Inc.


do not think the microprocessor of 2020 will be linked to them via networks. If
will be startling to people from our time, they need more memory, they will get
although the fastest chips may be much more processing power and network
larger than the very first wafer, and the connections as well, and vice versaan
cheapest chips may be much smaller than arrangement that will keep the memory
the original Intel 4004. capacity and processor speed and net-
work connectivity in balance. IRAMs
IRAMs and Picoprocessors are also the ideal building block for
parallel processing. And because they

P ipelining, superscalar organization


and caches will continue to play ma-
jor roles in the advancement of micro-
would require so few external connec-
tions, these chips could be extraordinar-
ily small. We may well see cheap pico-
processor technology, and if hopes are processors that are smaller than the an-
realized, parallel processing will join cient Intel 4004. If parallel processing
them. What will be startling is that mi- succeeds, this sea of transistors could
croprocessors will probably exist in ev- also be used by multiple processors on
erything from light switches to pieces of a single chip, giving us a micromulti-
paper. And the range of applications processor.
these extraordinary devices will support, Todays microprocessors are more
from voice recognition to virtual reality, than 100,000 times faster than their
will very likely be astounding. 1950s ancestors, and when inflation is
Today microprocessors and memo- considered, they cost 1,000 times less.
ries are made on distinct manufacturing These extraordinary facts explain why
CHARLES OREAR

lines, but it need not be so. Perhaps in the computing plays such a large role in
near future, processors and memory will our world now. Looking ahead, micro-
be merged onto a single chip, just as the processor performance will easily keep
CLEAN ROOMS, where wafers are microprocessor first merged the separate doubling every 18 months through the
made, are designed to keep human han- components of a processor onto a single turn of the century. After that, it is hard
dling and airborne particles to a mini- chip. To narrow the processor-memory to bet against a curve that has out-
mum. A single speck of dust can damage performance gap, to take advantage of stripped all expectations. But it is plau-
a tiny transistor. parallel processing, to amortize the costs sible that we will see improvements in
of the line and simply to make full use the next 25 years at least as large as those
of the phenomenal number of transis- seen in the past 50. This estimate means
career, only three new technologies have tors that can be placed on a single chip, that one desktop computer in 2020 will
prevailed: microprocessors, random-ac- I predict that the high-end microproces- be as powerful as all the computers in
cess memory and optical fibers. And sor of 2020 will be an entire computer. Silicon Valley today. Polishing my crys-
their impact has yet to wane, decades Lets call it an IRAM, standing for tal ball to look yet another 25 years
after their debut. intelligent random-access memory, since ahead, I see another quantum jump in
Surely one or two more inventions will most of the transistors on this merged computing power.
revise computing in the next 25 years. chip will be devoted to memory. Where- The implications of such a breathtak-
My guess, though, is that the stored pro- as current microprocessors rely on hun- ing advance are limited only by our
gram concept is too elegant to be easily dreds of wires to connect to external imaginations. Fortunately, the editors
replaced. I believe future computers will memory chips, IRAMs will need no more have asked others to ponder the possi-
be much like machines of the past, even than computer network connections and bilities, and I happily pass the baton on
if they are made of very different stuff. I a power plug. All input-output devices to them. SA

The Author
DAVID A. PATTERSON has taught since 1977 at the University books and consulted for many companies, including Digital, Intel
of California, Berkeley, where he now holds the E. H. and M. E. and Sun Microsystems. As a result of writing the original version of
Pardee Chair in Computer Science. He is a member of the National this article in 1995, he decided the following year to focus his re-
Academy of Engineering and is a fellow of both the Institute of Elec- search on intelligent random-access memory (IRAM). Some of the
trical and Electronic Engineers and the Association for Computing fruits of his work in this area are described on his World Wide Web
Machinery. He has won several teaching awards, co-authored five site at http://iram.cs.berkeley.edu/

Further Reading
Microprocessors: From Desktops to Supercomputers. F. Bas- Computer Organization and Design: The Hardware/Soft-
kett and J. L. Hennessy in Science, Vol. 261, pages 864871; Au- ware Interface. Second edition. D. A. Patterson and J. L. Hen-
gust 13, 1993. nessy. Morgan Kaufmann Publishers, 1997.
Computer Architecture: A Quantitative Approach. Second Follow the reference on the World Wide Web at http://cra.org:80/
edition. D. A. Patterson and J. L. Hennessy. Morgan Kaufmann research.impact/ and look under RISC to learn more about the
Publishers, 1995. rapid rise in processor performance.

88 Scientific American: The Solid-State Century Microprocessors in 2020


Copyright 1997 Scientific American, Inc.
By tailoring the electrical properties
of conducting polymers, researchers hope
to render electronics a bit more organic

PLASTICS GET WIRED


by Philip Yam, staff writer

PLIABLE LIGHT shines from a polymer


in this alphanumeric display made by UNIAX
Corporation in Santa Barbara, Calif. Organic
light-emitting diodes, or LEDs, should find
applications soon and may form the basis
of future lightweight screens.

Copyright 1997 Scientific American, Inc.


L ike many technological advances, the innovations in the field of
conducting polymers began by accident. While attempting to
make an organic polymer called polyacetylene in the early
1970s, Hideki Shirakawa of the Tokyo Institute of Technology mistakenly
added 1,000 times more catalyst than the recipe called for. What he pro-
duced was a lustrous, silvery film that resembled aluminum foil but
stretched like Saran Wrapsomething that sounds more like a new and
improved way to keep leftovers fresh than a potential breakthrough in
materials science.
The substance appeared so unusual that when Alan G. MacDiarmid
spied it, he wondered if it would be a candidate for his goal of making
synthetic metalsnonmetallic substances that could transmit electricity.
In 1977 Shirakawa joined MacDiarmid and Alan J. Heeger in their labo-
ratory at the University of Pennsylvania to investigate this form of poly-
acetylene. After mixing in some iodine, the group found that the materials
conductivity subsequently jumped by a factor of several million.
Durable, cheap, manufacturable and flexible, conducting polymers in-
spired visions of a future of transparent circuits, artificial muscle and elec-
tronic displays that conveniently roll up under the arm. Researchers have
auditioned various demonstration devices, including components that
could be useful for new displays, such as plastic transistors and light-emit-
ting diodes (LEDs). Although such a future is about as dreamy as it gets,
many investigators see broad marketing opportunities possible nowin
antistatic coatings, electromagnetic shielding, lights for toys and micro-
wave ovens, among others. Perhaps mundane, such applications are none-
theless promising enough that universities are collaborating with corpora-
tions, and scientists have initiated start-ups.
Although the pace of technological innovation has been impressively
brisk, whether the materials will have an effect on commerce remains un-
clear. Firms are unlikely to invest in new equipment if the devices perform
only marginally better than existing instruments. Polymer-based batteries,
for instance, have a longer shelf life than do conventional ones, but they
have penetrated the market in only a limited way. Flat-panel displays and
LEDs made of organic substances face entrenched competition from exist-
ing inorganic liquid crystals and semiconductors.
Still, optimism pervades the field. Because plastic and electrical devices
have become integral parts of the modern world, researchers are confident
that at least some profitable uses will emerge. Conducting polymers con-
stitute a radically novel market area, points out Ray H. Baughman of Al-
lied-Signal in Morristown, N.J., who predicts confidently, Fortunes are
going to be made.
Polymers, the constituents of familiar plastic materials and synthetic
fibers, are large organic molecules built out of smaller ones linked togeth-
er in a long chain. Generally, they are insulators, because their molecules
have no free electrons for carrying current. To make these substances con-
ductive, workers exploit a technique familiar to the semiconducting indus-
try: doping, or adding atoms with interesting electronic properties. The
added atoms either give up some of their spare electrons to the polymer
bonds or grab some electrons from the bonds (and thereby contribute pos-
itive charges called holes). In either case, the chain becomes electrically un-
stable. Applying a voltage can then send electrons scampering over the
length of the polymer.
Since the Pennsylvania groups work, several kinds of polymers have
been found to conduct electricity when doped. Besides polyacetylene, there
are polypyrrole, polythiophene and polyaniline, to name just a few of the
most commonly studied. Although scientists do not understand the precise
physical mechanisms that enable polymers to conduct, the purity and par-
ticularly the arrangement of polymer chains seem to be crucial. By stretch-
CHARLES OREAR

ing polyacetylene, for instance, workers now routinely make the material
conduct 50,000 amperes per volt per centimeter, up from 60 in the first re-
ports. Some investigators have even managed to make polyacetylene con-

Copyright 1997 Scientific American, Inc. Scientific American: The Solid-State Century 91
Conducting duct about one quarter as well as copper. ty of Durham in England. Copper con-
Plastics at Work Such developments are extremely ducts 100,000 times as much current
Displayed are some devices that important for the whole conducting and costs half as much. Still, polyani-
might rely on electrically conducting field, MacDiarmid says. They exem- lines electrical performance is more
organic materials in the near future. plify how dedicated improvement in than adequate for some applications, he
chemical and molecular structure can insists: The kinds of things we are go-
lead to enormous advances in the phys- ing to replace are those that are compli-
ical and electrical properties. More- cated to manufacture, like braids on ca-
over, the conductivity is readily adjust- ble. Braids impart flexibility, permitting
COAXIAL CABLE ed. You can control the quality of the coaxial cable to wind around your living-
Polyaniline could metallic state by controlling the struc- room end table, for example, to reach the
replace copper in tural order of the polymer, notes Ar- cable television box. But weaving cop-
braided parts of the thur J. Epstein of Ohio State University. per wire into braids is a slow, laborious
cable. Appropriate Although other polymers are more task, Monkman explains. If workers
manufacturing conductive, polyaniline is emerging as could extrude polymer braids and lay
techniques are now
the material of choice for many appli- the insulation over the cable in a single
being sought.
cations. As one of the oldest of synthet- step, the speed of the manufacturing
ic organic polymers, its properties are would rise 10-fold, and the cost would
well known. The substancewhich re- plummet. In 1995 the University of
sembles the plastic used in 35-millimeter Durham agreed to a three-year make-
photographic filmis easily made, it is or-break deal with a cable company.
stable in air and its electronic properties There will be a product, or there will
are readily customized. Most impor- never be a product, he says ruefully.
tant, polyaniline is cheapthe most in-
expensive conducting polymer around. That Annoying Static Cling
In terms of geometry, it can also assume
diverse incarnations, including thin
films and patterned surfaces.
Polyaniline, which conducts up to
A lthough conducting organics could
find uses in virtually anything that
relies on electricity, solid-state electron-
about 500 amperes per volt per cen- ics probably offers the greatest number
timeter, will not replace copper wiring, of opportunities. At the moment, ob-
THIN-FILM however. We wont be as good as cop- serves Marie Angelopoulos of the IBM
TRANSISTORS per; we wont be as cheap as copper, Thomas J. Watson Research Center,
Flexible and admits Andy Monkman of the Universi- the largest market is electrostatic dissi-
ELECTROMAGNETIC transparent, these
SHIELDING components could
Incorporated into drive existing
computer cases, active-matrix FLEXIBLE DISPLAY
conducting poly- displays or The ultimate goal of organic display technology,
mers can block out all-plastic displays. such screens would combine the flexibility, con-
electromagnetic Demonstration ductivity and light-emitting ability of the materials.
interference in the transistors have Competition from liquid-crystal displays and mar-
megahertz range. been made. ket resistance may make them unlikely.

SMART WINDOWS
These windows
goal of
Ultimate goa
organic displ
display
technology, c combining
the flexibility,
conductivities and light
abili of the
emitting ability
materials.

would change
te goal
Ultimac display
organi logy,
techno
combining
the
of transparency and
color automatically.
ity,
flexibil s and
conductivitieg ability
light emittin als.
materi from
of the
Competitionl
liquid-crystaand
displays t resista
nce
Ultimat marke this
displaye goal of organic may make
combin technology,

Some luxury model


Ultimate goal device ible
conducing the flexibili of organic
display technology unlikely.Flex
emittingtivities and light ty,
combining the ,
y
Displa te goal of
materia ability of the conductivities flexibility, Ultimac display
ls. Compe
from liquid-c
rystal
tition emitting abilityand light organi logy,
display of the
materials. Competitio techno the
resistans and market from liquid-cryst n combining
device ce may make displays and al flexibil
ity,
id-
Displayunlikely.Flexib this resistance may market conductivitiu s and
le display
Ultimat crystalt resistance

automobiles use
make this
device unlikely.Fle
displaye goal of organic Display xible marke this
combin technology, Ultimate goal may make
conducing the flexibili of organic
display technology device ible
emittingtivities and light ty,
combining the , unlikely.Flex
materia ability of the conductivities flexibility,
y
Displa te goal of
ls.
from liquid-cCompe
rystal
tition emitting abilityand light Ultima
display of the
materials. Competitio orga
resistans and market from liquid-cryst n
device ce may make al

such material for


displays and
Displayunlikely.Flexib this resistance may market
Ultimat le
make this
device unlikely.Fle
displaye goal of organic Display xible
combin technology, Ultimate goal
conducing the flexibili of organic
display technology
emittingtivities and light ty,
combining the ,
materia ability of the conductivities flexibility,

A
ls. Compe
from liquid-c
rystal
tition emitting abilityand light
display of the
materials. Competitio
resistans and market

mirrors.
from liquid-cryst n
device ce may make displays and al
unlikely
Display .Flexiblethis resistance may market
Ultimat make this
device unlikely.Fle
displaye goal of organic Display xible
combin technology, Ultimate goal
conduc ing the flexibili of organic
display technology
emittingtivities and light ty,
combining the ,
materia ability of the conductivities flexibility,
ls.
emitting abilityand light
materials. of the

+
SOLDER
4 Water-soluble polyaniline
2001 2002 2003 200
may replace the toxic, lead-
based solder now used, if its
conductivity can be boosted
by four orders of magnitude.
BATTERIES
Sales of rechargeable button cells have
thus far been weak, but new all-polymer
batteries producing higher voltages
might renew interest. Other forms of en-
Copyright 1997 Scientific American, Inc. ergy storage, such as capacitors, are also
being sought.
KARL GUDE
pation. Such charges are well known guard against spurious signals, Epstein
to wreak havoc on digital devices: esti- remarks. Conventional screening mate-
mates of electrostatic damage to elec- rials rely on impregnated bits of carbon
tronic equipment alone exceed $15 bil- or metal, which could harm the me-
lion yearly in the U.S., she notes. chanical properties of the base material CAMOUFLAGE
Contemporary protective packaging, at any points that bend. Although pro- COATINGS
which relies on ionic salts or resins filled posals relying on polymers are still more The U.S. military is
with metals or carbon, has some short- costly than present solutions, conduct- considering coatings
comings. The conductivities of ionic ma- ing polymers could be adulterated with and fabrics that are
terials tend to be low and unstable; metal other substances, such as nylon, to re- blended with con-
is expensive and heavy; and carbon poses duce the expense. BIOLOGICAL ducting polymers
a contamination hazard because bits of it Polymers could also be environmen- SENSORS to spoof radar.
can slough off during shipment. Poly- tally correct. IBMs PanAquas is soluble Conductivity of
mers should be easier to handle and able in water (ordinarily, the polymer must polymer tags would
to dissipate electrostatic charges more ef- be processed with organic solvents). If change depending
ficiently. As a bonus, polyaniline coatings Angelopoulos and her colleagues could on exposure time
above a threshold
also happen to be highly transparent. In increase the conductivity of the water- temperature and
the summer of 1997 IBM began licensing soluble polyaniline, the material could would be remotely
the production of one such material, replace the lead-based solder used to read by a scanner.
PanAquas. connect electronics parts on a substrate. Sensors for aromas,
The dissipative abilities of polymers MacDiarmid explains that outdated enzymes and
also make them ideal for electromagnet- equipment poses an environmental haz- pesticides are now
ic shielding. Such protection is necessary ard and an economic nuisance: In being used for
to keep electrical signals among compo- many parts of Europe the manufacturer quality control and
nents from overlappingthe reason air- must remove all lead-containing materi- safety analysis.
lines request that portable electronics be al from discarded printed circuit boards,
turned off during takeoff and landing. which is one hell of a job.
(The shielding would not benefit those
concerned about the potential health ef- The All-Plastic Transistor
fects of power lines, however, because
the frequencies of the fields are much
lower than these screens can block.) In-
corporated into the plastic cases of elec-
T he ultimate achievement in elec-
tronics application, however, would
be a component fabricated out of poly-
tronic equipment, the polymers can mers. Using ordinary circuit-printing

MEDICAL SU
PPLIE

9:15

2
COOK
19:54

WASH

ARTIFICIAL MUSCLE
Simple tweezers, ANTISTATIC
made from strips MATERIALS
of polymers with dif- LIGHT-EMITTING
DIODES Polymers that
ferent conductivities, dissipate electro- IL
-1
2

have been made; Organic LEDs can


glow in all colors, static charge may
proposals call for be used in floor-
muscle to consist of even white. Such
lights will be usable ing, mouse pads
many strips working and computer-
together. for appliance panels,
watches and toys. chip packaging.
Copyright 1997 Scientific American, Inc.
techniques, Francis Garnier of the CNRS liquid crystals) are controlled by voltages pie-in-the-sky applications. But as a first
Molecular Materials Laboratory in Thi- applied along all the rows and columns. step researchers are aiming for a more
ais, France, did just that, creating the In active-matrix displays, which offer modest, albeit lucrative, uselight-emit-
first all-polymer circuit element: a transis- greater contrast and resolution, each pix- ting diodes. These little glowing indica-
tor. Constructed around a short-chain el is individually controlled by a thin-film tors decorate innumerable electronic giz-
molecule called sexithiophene, the thin- transistor. mos, and their sales add up to hundreds
film field-effect transistor was fully flex- Therein lies the cost. A 20-inch, full- of millions of dollars a year in the U.S.
ible. Twisting, rolling and bending (even color active-matrix display contains alone, according to the Semiconductor
at right angles) had no effect on the elec- more than two million pixels. Unfortu- Industries Association in Santa Clara,
trical characteristics of the device. nately, a few malfunctioning ones are Calif.
Although widely regarded as an im- sufficiently distracting to the sensitive At present, LEDs are constructed from
pressive bit of engineering, Garniers or- human eye to ruin the image. The per- an inorganic semiconducting material,
ganic transistor would not stand a centage of flat panels rejected is very often gallium arsenide. Two layers, each
chance against silicon. Computers made high, Garnier states. That failure rate doped to have different electrical char-
from the plastic material would operate drives up the price of the displays that acteristics, are interconnected and act as
at less than one thousandth the speed make it to market. positive and negative electrodes. When
of existing ones crafted of crystalline Organic circuits might ease the strain electricity passes through the materials,
silicon, which permits electrons to move on corporate wallets because they should one electrode gives off electrons, the oth-
faster. be easier to make, especially in large siz- er, positively charged holes (spaces that
But there is an application that does es. The circuitry can be fabricated at low- electrons would normally occupy). The
not need fast electronics: video displays. er temperatures and is less sensitive to negative and positive charges meet at the
Currently amorphous silicon (that is, the presence of impurities during pro- junction of the substances, where they
silicon in its noncrystalline form) is used cessing, which should lower production combine and give off light. The color of
in such circuitry because it is much less costs. Moreover, organics could make it the light depends on the properties of the
expensive to process than crystals are possible to fabricate entirely new types semiconductor and dopant; those pro-
and can be laid on different substrates, of displays. Manufacturers should be ducing red and green light are the easi-
such as glass. Garniers transistor runs able to tune the properties of the poly- est to make.
at just about the speed of circuits made mers, controlling their flexibility and Organic LEDs promise to make the
from amorphous silicon, and he feels the even their transparency. See-through manufacture of these lights much cheap-
requisite video-rate performance is eas- electronics would permit a direct-view, er, mostly by reducing the number of
ily within reach. heads-up display on windshields and hel- contacts and interconnections. Conven-
An organic semiconducting transistor mets, obviating the need to reflect imag- tional LEDs must be spliced together to
would be a boon to manufacturers of es onto a viewing glass, as is now done. be used in displays on such devices as
liquid-crystal displays (LCDs), the ap- microwave ovens, alarm clocks and
proach that dominates research into flat- Shines in the Dark videocassette recorders. Each LED can-
panel technology. Existing screens seal not be crafted larger than the gallium
liquid crystals, made from various kinds
of organic substances, between two glass
plates; a fluorescent tube illuminates the
C onducting organics could also be
used as the light sources in displays,
not just in the controlling circuitry. In-
arsenide crystal wafers can be grown,
and modern technology limits the size
to no more than about six inches, mea-
crystals from behind. In so-called passive deed, lightweight, robust displays have sured diagonally. To make a large dis-
displays, the pixels (cells containing the been one of the most widely publicized, play, then, LEDs must be individually
mounted and wireda difficult task
considering that one reasonably sized
FLEXIBLE LED consists of an organic letter in a typical display takes 35 LEDs.
In contrast, organic films can be laid
+ substance sandwiched between elec-
trodes. A voltage applied to the LED over practically unlimited extents. In
sends negative and positive charges from addition, the starting materials for or-
the electrodes to the organic layer, where ganics are more economical than those
they combine and give off light. for conventional LEDs.
Ching W. Tang and his colleagues at
Eastman Kodak are by far leading the
NEGATIVE ELECTRODE way in bringing organic-based LEDs to
ORGANIC LAYER market. (The rather undescriptive term
for the approach they have adopted
+ + + + + POSITIVE ELECTRODE
+ + small moleculedistinguishes it from
work using polymers, which are much
+ + + +
GLASS SUBSTRATE
+ longer.) In 1987 Tang reported that a
small crystalline organic molecule of 8-
hydroxyquinoline aluminum (Alq)
would give off green and orange light.
Since then, workers found they could
KARL GUDE

elicit all colors of the spectrum by vary-


LIGHT ing the thin-film organic layer. More-

94 Scientific American: The Solid-State Century Plastics Get Wired


Copyright 1997 Scientific American, Inc.
over, the organic LEDs can, in lumens
per watt, burn as efficiently as a house-
hold lightbulb and can last 10 times
longerin other words, more than
10,000 hours.
The efficiency is extremely attrac-
tive. With [components that have] a
10,000-hour lifetime, Tang says, you
can seriously consider display applica-
tions, particularly in portable devices.
At the moment, small-molecule LEDs
are not ready to replace liquid-crystal
displays in flat screenstheir perfor-
mance is still too poor. Yet it is adequate
for dot-matrix displays in electronic or-
ganizers and microwave oven panels,
for instance, and that will do for now.
High-end displays are not complete-
ly out of reach. In the autumn of 1996
Samson A. Jenekhe and his colleagues
at the University of Rochester built a
polymer diode consisting of two organ-
ic plastic layers. By varying the voltage

CHARLES OREAR
applied across the two layers, the re-
searchers were able to vary the color of
the emitted light from red through yel-
low to green. POLYMER SHEETS made of polyaniline appear as a lustrous pink (left) until doped
In March 1997 Jenekhe and his col- with iodine, which makes the substance conduct and colors it blue (right). Weigong
leagues were finally able to produce an Zheng of the University of Pennsylvania prepared the material.
organic plastic material that emitted
blue light, and in the summer of 1997
researchers at Princeton University and emerges from only one end, more of it the chemical makeup of PPV, they have
the University of Southern California reaches the viewer, unlike the light from also teased the full range of colors out
created a bright, red-green-blue organic conventional diode structures, which of the devices. In 1996 several research-
LED whose color can be independently leaks wastefully in all directions. es showed that PPV can even lase.
controlled. Before these accomplish- The potentially higher efficiency may So far, however, polymer LEDs have
ments can be developed into a product, also boost the longevity. Current that is plenty of drawbacks. Lifetime issues
however, several significant issues must not transformed into light becomes are clearly key to making this curiosity
be resolved, including the stability of waste heat, which hastens a diodes de- into a business, remarks Heeger, now
these organic materials over long peri- mise. Because a microcavity LED would at the University of California at Santa
ods. In the meantime, researchers are in- require less current for the same amount Barbara. Most polymer LEDs burn for
vestigating other potential uses for the of light, it should in principle last longer. only a few hundred hours, but 2,000 to
plastic light emitters, including back- 10,000 hours is desirable. The main
lights for liquid-crystal displays. Polymer Lights cause is inefficiency. The polymer LEDs
All the devices built so far, though, convert no more than 4 percent of the
have been too dim and inefficient. One
solution for increasing the brightness
and efficiency may be an alternative ar-
O ther investigators are trying to de-
velop LEDs made from polymers
instead of small organic molecules. The
current sent through them into light;
the rest is transformed into waste heat.
Hence, the diode can shine quite bright-
chitecture. An approach that has shown most widely used polymers are poly-p- ly, but the high voltage necessary to
some promise was unveiled recently at phenylenevinylene, or PPV for short, and achieve that intensity comes at the price
Bell Laboratories (now part of Lucent its derivatives. Richard H. Friend of the of faster breakdown.
Technologies), where Ananth Dodabal- Cavendish Laboratory at the University Improved processing might extend
apur and his colleagues constructed elec- of Cambridge and his associates dis- PPVs life; during manufacturing, un-
troluminescent devices by sandwiching covered the green-yellow glow of PPV wanted reactions can create defects on
layers of Alq and inert material between in 1990. By combining that material the polymer chain, which interfere with
two reflecting surfaces. Structured this with electrodes made from other poly- PPVs ability to glow. Shelf life is also a
way, the layers conform to the physics mers or from flexible metal backings drawback; at the moment, PPV diodes
of a Fabry-Perot cavitythe basic struc- (like the foil that keeps supermarket na- last only several months in storage be-
ture of most lasers. The emissive Alq chos fresh), researchers have produced cause they are unstable in air, reacting
sends out light that bounces back and flexible LEDs that give off 2.5 lumens with oxygen and water vapor. Better
forth, amplifying until it leaks out one per watt. Driven at 10 volts, the light is packaging might help.
end. (This type of microcavity yielded about as bright as the fluorescent lamp Still, polymer LEDs are close to being
true lasing in 1996.) Because the light in a liquid-crystal display. By varying sufficiently bright and efficient for

Plastics Get Wired Scientific American: The Solid-State Century 95


Copyright 1997 Scientific American, Inc.
some limited applications. Cambridge The U.K.-based firm Aromascan, the
Display Technology, which Friend
The question is whether first to commercialize electronic noses
helped to found, has licensed its tech- these things are in 1994, has posted mounting losses; in
nology to Heegers company, UNIAX 1996 it reached $1.8 million as com-
Corporation in Santa Barbara. In con- going to be cheap, says mercial interest in the nosesfor quality
junction with the Dutch giant Philips control and scent analysis, among other
Electronics and the chemical maker Richard H. Friend of the useshas slipped since the introduction
Hoechst AG, by the end of 1997 UNI- of the devices.
AX plans to make small displays, about University of Cambridge. Even conducting polymers that have
2.5 by five centimeters in size and con- loyal customers may not be financially
taining between 2,000 and 3,000 pix- worthwhile for a big corporation. Be-
els. The polymers might also find use as fore IBMs PanAquas antistatic spray
lights in toys, watches and promotional coating, Allied-Signal offered an analo-
novelties. corporating conducting polymers were gous product named Versaconthe main
Even if lifetime issues are resolved, actually made a few years ago. In the late difference being that Versacon was a dis-
polymer LEDs may never really see the 1980s the Japanese companies Bridge- persible powder rather than a solution
light of day, not so long as the small- stone and Seiko commercialized a re- and therefore may not have been as effec-
molecule, Alq-based LEDs surpass them chargeable button-cell battery that used tive or as transparent. At the time, several
in performance. Japan has focused vir- polyaniline for one electrode and lithium companies considered Versacon advan-
tually all its attention on the small-mol- for the other. Milliken and Company, a tageous and incorporated it into such
ecule lights. Pioneer Electronics, for in- textile manufacturer based in South Car- products as paints and coatings. Yet Al-
stance, used Kodaks Alq technology to olina, developed Contex, a fabric that lied has abandoned production; the vol-
demonstrate the LEDs in alphanumeric consists of common synthetics interwo- ume of sales was simply too low. The
displays containing up to some 16,000 ven with the conducting polymer poly- major problems for wide applications re-
pixels that can burn for 5,000 hours. pyrrole. It just so happened that the main cost and reliability, says Epstein
What keeps hope alive in the polymer conductivity of the resulting fabric was of Ohio State.
crowd is the potential for cheaper man- perfect for spoofing radarthat is, That does not faze the pioneers of
ufacturing. Polymer LEDs extracted interfering with detection by making it conducting polymers, especially because
from solutions of chemicals may be eas- appear that the signals were going right possibilities beyond electronics are con-
ier to make than small-molecule LEDs, through empty space. It has an advan- ceivable. Epstein has a patent on a tech-
which are deposited in a high vacuum tage over the militarys existing radar nique that uses the polymers to form
onto substrates. camouflage nets, which rely on incor- hidden joints. Polyaniline in powder
porated carbon fibers, in that it has no form can be sprinkled on two pieces of
Who Wants Wallpaper That Glows? gaps in its signal absorption. plastic that need to be joined. The con-
Yet sales of these early products ducting powder can absorb the energy

W hether any new kind of LED


small-molecule or polymer
emerges on a large scale depends on
proved disappointing. Although the
polymer-based battery had a longer shelf
life than did lead-acid or nickel-cadmi-
from ordinary microwave ovens to heat
and fuse the pieces, making them as
strong as one.
manufacturability. Almost certainly at um cells, the technology never took off. Baughman and MacDiarmid have
a cost, anything can be done, Friend Heeger explains that the advantage, made plastic electromechanical mech-
notes. The question is whether these though real, was not substantial enough anisms. Two polymers with different
things are going to be cheap. More to to convince investors to set up complete- conductivities would change their lin-
the point, existing technology is quite ly new manufacturing plants. (There ear dimensions when current flows
adequate. As indicator lights, conven- might be room for specialized applica- through them, much as the metallic
tional LEDs cost only pennies. As back- tions, though. For instance, workers at strips in thermostats do under varying
lights, standard fluorescent lights are Johns Hopkins University made an all- temperatures. The polymers would un-
excellent sources, comments Lewis J. plastic, rechargeable battery in early dergo more dramatic changes in size
Rothberg, formerly at Bell Labs, now at 1997. Flexible and light, it can produce using much less electricity than con-
the University of Rochester. For poly- up to three voltssufficient for some ventional piezoelectric or electrostatic
mer products, he says, the competi- satellite and battlefield equipment, for actuators, Baughman says. More than
tion is going to be harsh. which weight is a factor.) just high-tech tweezers, several microac-
The color capability of organics could Commercialization of Contex was tuators coupled together could func-
also be irrelevant. Why would you need perhaps even more discouraging. We tion as artificial muscle.
a rainbow of hues if you just want to were approved as a vendor for the A-12 Certainly there is no shortage of imag-
know if your amplifier is on? More bomber, remarks Hans H. Kuhn of ination, and such immediate uses as the
broadly, does a market for a large, roll- Milliken, but the bomber was never dissipation of static charge and the
up display truly exist? That question still built. Although sobered, Kuhn is hop- shielding of electromagnetic fields are
has no clear answer. People have a vi- ing that the armys interest in camouflage clearly viable. But stiff competition from
sion of carrying around a view graph, nets could revive appeal for the material. present-day devices and marketing con-
Rothberg says. I dont know if the pub- Another product that has proved dis- siderations may jeopardize hopes of hav-
lic is going to want that. appointing is the electronic nose, which ing a portable roll-up display to take on
There is some justification for skepti- works because odor molecules can alter the commute to work. The newspaper
cism. The first commercial products in- the resistance of conducting polymers. may have to do for a while. SA

96 Scientific American: The Solid-State Century Plastics Get Wired


Copyright 1997 Scientific American, Inc.
Quantum-mechanical computers,
if they can be constructed, will do
things no ordinary computer can

Quantum-Mechanical
Computers
by Seth Lloyd

E very two years for the past 50, computers have become twice as fast while their
components have become half as big. Circuits now contain wires and transistors
that measure only one hundredth of a human hair in width. Because of this ex-
plosive progress, todays machines are millions of times more powerful than their crude
ancestors. But explosions do eventually dissipate, and integrated-circuit technology is
running up against its limits.
Advanced lithographic techniques can yield parts 1/100 the size of what is currently avail-
able. But at this scalewhere bulk matter reveals itself as a crowd of individual atoms
integrated circuits barely function. A tenth the size again, the individuals assert their iden-
tity, and a single defect can wreak havoc. So if computers are to become much smaller in
the future, new technology must replace or supplement what we now have.

HYDROGEN ATOMS could be


used to store bits of information
in a quantum computer. An
atom in its ground state, with its
electron in its lowest possible en-
ergy level (blue), can represent a
0; the same atom in an excited
state, with its electron at a higher
energy level (green), can repre-
sent a 1. The atoms bit, 0 or 1,
can be flipped to the opposite
value using a pulse of laser light
(yellow). If the photons in the
pulse have the same amount of
energy as the difference between
the electrons ground state and
its excited state, the electron will
jump from one state to the other.

98 Scientific American: The Solid-State Century Copyright 1997 Scientific American, Inc.
Several decades ago pioneers such as Rolf Landauer and Charles H. Bennett, both at
the IBM Thomas J. Watson Research Center, began investigating the physics of informa-
tion-processing circuits, asking questions about where miniaturization might lead: How
small can the components of circuits be made? How much energy must be used up in the
course of computation? Because computers are physical devices, their basic operation is
described by physics. One physical fact of life is that as the components of computer cir-
cuits become very small, their description must be given by quantum mechanics.
In the early 1980s Paul Benioff of Argonne National Laboratory built on Landauer and
Bennetts earlier results to show that a computer could in principle function in a purely quan-
tum-mechanical fashion. Soon after, David Deutsch of the Mathematical Institute at the Uni-
versity of Oxford and other scientists in the U.S. and Israel began to model quantum-me-
chanical computers to find out how they might differ from classical ones. In particular, they
wondered whether quantum-mechanical effects might be exploited to speed computations
or to perform calculations in novel ways.
By the middle of the decade, the field languished for several reasons. First, all these re-
searchers had considered quantum computers in the abstract instead of studying actual
physical systemsan approach that Landauer faulted on many counts. It also became ev-
ident that a quantum-mechanical computer might be prone to errors and have trouble cor-
recting them. And apart from one suggestion, made by Richard Feynman of the Califor-
nia Institute of Technology, that quantum computers might be useful for simulating oth-
er quantum systems (such as new or unobserved forms of matter), it was unclear that
they could solve mathematical problems any faster than their classical cousins.
In the past few years, the picture has changed. In 1993 I described a large class of familiar
physical systems that might act as quantum computers in ways that avoid some of Lan-
dauers objections. Peter W. Shor of AT&T Bell Laboratories has demonstrated that a quan-
tum computer could be used to factor large numbersa task that can foil the most powerful
of conventional machines. And in 1995, workshops at the Institute for Scientific Inter-
change in Turin, Italy, spawned many designs for constructing quantum circuitry. More
recently, H. Jeff Kimbles group at Caltech and David J. Winelands team at the National
Institute of Standards and Technology have built some of these prototype parts, whereas
David Cory of the Massachusetts Institute of Technology and Isaac Chuang of Los Ala-

BORIS STAROSTA
mos National Laboratory have demonstrated simple versions of my 1993 design. This
article explains how quantum computers might be assembled and describes some of the
astounding things they could do that digital computers cannot.

READING the bit an atom


stores is done using a laser pulse
having the same amount of ener-
gy as the difference between the
atoms excited state, call it E1,
and an even higher, less stable
state, E2. If the atom is in its
ground state, representing a 0,
this pulse has no effect. But if it
is in E1, representing a 1, the
pulse pushes it to E2. The atom
will then return to E1, emitting a
telltale photon.

Copyright 1997 Scientific American, Inc. Scientific American: The Solid-State Century 99
Quantum Logic Gates

L ogic gates are devices that perform elementary operations


on bits of information. The Irish logician George Boole
showed in the 19th century that any complex logical or arith-
metic task could be accomplished using combinations of three
simple operations: NOT, COPY and AND. In fact, atoms, or any
other quantum system, can perform these operations. S.L.

STANDARD COPY, in the quantum world, relies on the interaction between two differ-
INITIAL FINAL CIRCUIT ent atoms. Imagine one atom, A, storing either a 0 or 1, sitting next to an-
NOT GATE STATE STATE NOTATION
other atom, B, in its ground state. The difference in energy between the
states of B will be a certain value if A is 0, and another value if A is 1. Now ap-
0 1 ply a pulse of light whose photons have an energy equal to the latter
amount. If the pulse is of the right intensity and duration and if A is 1, B will
absorb a photon and flip (top row); if A is 0, B cannot absorb a photon from
A ABSORBS PHOTON the pulse and stays unchanged (bottom row). So, as in the diagram below, if
A is 1, B becomes 1; if A is 0, B remains 0.
1 0 STANDARD
CIRCUIT
COPY INITIAL STATES FINAL STATES NOTATION
A A GATE
1
NOT involves nothing more than bit flipping, as the 1
1
notation above shows: if A is 0, make it a 1, and vice
versa. With atoms, this can be done by applying a B ABSORBS PHOTON
pulse whose energy equals the difference between 0
As ground state (its electron is in its lowest energy 0
level, shown as the inner ring) and its excited state 0
(shown as the outer ring). Unlike conventional NOT
MICHAEL GOODMAN

gates, quantum ones can also flip bits only halfway. A B A B

Lets face it, quantum mechanics is chunks, called photons, which might posing its true position. In principle,
weird. Niels Bohr, the Danish physicist be considered the particles that make basketballs could be both here and there
who helped to invent the field, said, up light waves. at once as well (even in the absence of
Anyone who can contemplate quantum A second consequence is that quan- Michael Jordan). In practice, however,
mechanics without getting dizzy hasnt tum-mechanical waves, like water waves, the time it takes for a photon to bounce
properly understood it. For better or can be superposed, or added together. off a ball is too brief for the eye or any
worse, quantum mechanics predicts a Taken individually, these waves offer a instrument to detect. The ball is simply
number of counterintuitive effects that rough description of a given particles po- too big for its exact location to go un-
have been verified experimentally again sition. When two or more such waves are detected for any perceivable amount of
and again. To appreciate the weirdness combined, though, the particles position time. Consequently, as a rule only small,
of which quantum computers are capa- becomes unclear. In some weird quan- subtle things exhibit quantum weirdness.
ble, we need accept only a single strange tum sense, then, an electron can some-
fact called wave-particle duality. times be both here and there at the same Quantum Information
Wave-particle duality means that time. Such an electrons location will re-
things we think of as solid particles,
such as basketballs and atoms, behave
under some circumstances like waves
main unknown until some interaction
(such as a photon bouncing off the elec-
tron) reveals it to be either here or there
I nformation comes in discrete chunks,
as do atomic energy levels in quan-
tum mechanics. The quantum of infor-
and that things we normally describe as but not both. mation is the bit. A bit of information is
waves, such as sound and light, occa- When two superposed quantum waves a simple distinction between two alter-
sionally behave like particles. In essence, behave like one wave, they are said to nativesno or yes, 0 or 1, false or true. In
quantum-mechanical theory sets forth be coherent; the process by which two digital computers, the voltage between
what kind of waves are associated with coherent waves regain their individual the plates in a capacitor represents a bit
what kind of particles, and vice versa. identities is called decoherence. For an of information: a charged capacitor reg-
The first strange implication of wave- electron in a superposition of two differ- isters a 1 and an uncharged capacitor, a
particle duality is that small systems such ent energy states (or, roughly, two dif- 0. A quantum computer functions by
as atoms can exist only in discrete ener- ferent positions within an atom), deco- matching the familiar discrete character
gy states. So when an atom moves from herence can take a long time. Days can of digital information processing to the
one energy state to another, it absorbs pass before a photon, say, will collide strange discrete character of quantum
and emits energy in exact amounts, or with an object as small as an electron, ex- mechanics.

100 Scientific American: The Solid-State Century Quantum-Mechanical Computers


Copyright 1997 Scientific American, Inc.
the photons have the wrong fre-
quency, their pushes are out of
STANDARD sync with the electron, and noth-
CIRCUIT ing happens.
AND GATE INITIAL STATES FINAL STATES NOTATION
If the right light is applied for
half the time it takes to flip the
1
1 atom from 0 to 1, the atom is in a
1
state equal to a superposition of
B ABSORBS PHOTON the wave corresponding to 0 and
the wave corresponding to 1, each
0 having the same amplitudes. Such
0
0 a quantum bit, or qubit, is then
flipped only halfway. In contrast,
a classical bit will always read ei-
0 ther 0 or 1. A half-charged capac-
0
1 itor in a conventional computer
causes errors, but a half-flipped
qubit opens the way to new kinds
of computation.
1
0 Reading bits from a quantum
0
system is similar to flipping them.
A B A A B A Push the atom to an even higher,
less stable energy state, call it E2.
Do so by subjecting the atom to
AND also depends on atomic interactions. Imagine three atoms, A, B and A, sitting next to light having an energy equal to
one another. The difference in energy between the ground and excited states of B is a function the difference between E1 and E2:
of the states of the two As. Suppose B is in its ground state. Now apply a pulse whose energy if the atom is in E1, it will be ex-
equals the difference between the two states of B only when the atoms neighboring As are cited to E2 but decay rapidly back
both 1. If, in fact, both As are 1, this pulse will flip B (top row); otherwise it will leave B un- to E1, emitting a photon. If the
changed (all other rows). atom is already in the ground
state, nothing happens. If it is in
the half-flipped state, it has an
equal chance of emitting a photon
and revealing itself to be a 1 or of
Indeed, a string of hydrogen atoms can from the ground state to the excited not emitting a photon, indicating that it
hold bits as well as a string of capacitors. state, as its electron absorbs a photon. If is a 0. From writing and reading infor-
An atom in its electronic ground state the atom is already in the excited state, mation in a quantum system, it is only
could encode a 0 and in an excited state, the same pulse will cause it to emit a pho- a short step to computing.
a 1. For any such quantum system to ton and go to the ground state. In terms
work as a computer, though, it must be of information storage, the pulse tells Quantum Computation
capable of more than storing bits. An op- the atom to flip its bit.
erator must be able to load information
onto the system, to process that informa-
tion by way of simple logical manipula-
What is meant here by gradually? An
oscillating electrical field such as laser
light drives an electron in an atom from
E lectronic circuits are made from lin-
ear elements (such as wires, resis-
tors and capacitors) and nonlinear ele-
tions and to unload it. That is, quantum a lower energy state to a higher one in ments (such as diodes and transistors)
systems must be capable of reading, writ- the same way that an adult pushes a that manipulate bits in different ways.
ing and arithmetic. child on a swing higher and higher. Each Linear devices alter input signals individ-
Isidor Isaac Rabi, who was awarded time the oscillating wave comes around, ually. Nonlinear devices, on the other
the Nobel Prize for Physics in 1944, first it gives the electron a little push. When hand, make the input signals passing
showed how to write information on a the photons in the field have the same through them interact. If your stereo did
quantum system. Applied to hydrogen energy as the difference between E0 and not contain nonlinear transistors, for ex-
atoms, his method works as follows. E1, these pushes coincide with the elec- ample, you could not change the bass in
Imagine a hydrogen atom in its ground trons swinging motion and gradually the music it plays. To do so requires some
state, having an amount of energy equal convert the wave corresponding to the coordination of the information coming
to E0. To write a 0 bit on this atom, do electron into a superposition of waves from your compact disc and the infor-
nothing. To write a 1, excite the atom to having different energies. mation coming from the adjustment
a higher energy level, E1. We can do so The amplitude of the wave associated knob on the stereo.
by bathing it in laser light made up of with the electrons ground state will Circuits perform computations by way
photons having an amount of energy continuously diminish as the amplitude of repeating a few simple linear and non-
equal to the difference between E1 and of the wave associated with the excited linear tasks over and over at great speed.
E0. If the laser beam has the proper in- state builds. In the process, the bit regis- One such task is flipping a bit, which is
tensity and is applied for the right length tered by the atom flips from the equivalent to the logical operation called
of time, the atom will gradually move ground state to the excited state. When NOT: true becomes false, and false be-

Quantum-Mechanical Computers Scientific American: The Solid-State Century 101


Copyright 1997 Scientific American, Inc.
comes true. Another is COPY, which they set up a system in which they flipped
makes the value of a second bit the same the protons spin only if the electrons
as the first. Both those operations are lin- spin represented a 1. Because these
A=0 A=1 B = 0 B =1 ear, because in both the output reflects workers were not thinking about quan-
the value of a single input. Taking the tum logic, they called the effect double
AND of two bitsanother useful task resonance. And yet they used double res-
DATA AS WRITTEN is a nonlinear operation: if two input onance to carry out linear NOT and
bits are both 1, make a third bit equal to COPY operations.
1 as well; otherwise make the third bit a Since then, Barenco, David DiVincen-
0. Here the output depends on some in- zo of IBM, Tycho Sleator of New York
teraction between the inputs. University and Harald Weinfurter of the
1 0 1 0 0 0 The devices that execute these opera- University of Innsbruck have demon-
tions are called logic gates. If a digital strated how, by flipping proton and
computer has linear logic gates, such as electron spins only partway, double res-
LIGHT FLIPS B TO 1 NOT and COPY gates, and nonlinear onance can be used to create an AND
IF A ON ITS LEFT IS 1 ones as well, such as AND gates, it can gate as well. Such quantum logic gates,
complete any logical or arithmetic task. wired together, could make a quantum
The same requirements hold for quan- computer.
tum computers. Artur Ekert, working A number of groups have recently con-
with Deutsch and Adriano Barenco at structed quantum logic gates and pro-
Oxford, and I have shown independent- posed schemes for wiring them together.
ly that almost any nonlinear interaction A particularly promising development
1 1 1 1 0 0 between quantum bits will do. Indeed, has come from Caltech: by concentrat-
provided a quantum computer can flip ing photons together with a single atom
FLIPS A TO 0 bits, any nonlinear quantum interaction in a minute volume, Kimbles group has
IF B ON RIGHT IS 1 enables it to perform any computation. enhanced the usually tiny nonlinear in-
DATA HAVE MOVED
ONE PLACE
Hence, a variety of physical phenome- teraction between photons. The result is
TO RIGHT na might be exploited to construct a a quantum logic gate: one photon bit can
quantum computer. be flipped partway when another pho-
In fact, all-purpose quantum logic ton is in a state signifying 1. Quantum
gates have been around almost as long wires can be constructed by having
as the transistor! In the late 1950s, re- single photons pass through optical
searchers managed to perform simple fibers or through the air, in order to fer-
0 1 0 1 0 0
two-bit quantum logic operations using ry bits of information from one gate to
particle spins. These spinswhich are another.
FLIPS A TO 1 simply the orientation of a particles ro- An alternative design for a quantum
IF B ON LEFT IS 1
tation with respect to some magnetic logic circuit has been proposed by J. Ig-
fieldare, like energy levels, quantized. nacio Cirac of the University of Castil-
So a spin in one direction can represent a la-La Mancha in Spain and Peter Zoller
1 and in the other, a 0. The researchers of the University of Innsbruck. Their
took advantage of the interaction be- scheme isolates qubits in an ion trap,
tween the spin of the electron and the effectively insulating them from any un-
spin of the proton in a hydrogen atom; wanted external influences. Before a bit
0 1 1 1 1 0 were processed, it would be transferred
to a common register, or bus. Specifi-
FLIPS B TO 0 cally, the information it contained
IF A ON RIGHT IS 1 would be represented by a rocking mo-
DATA HAVE MOVED tion involving all the ions in the trap.
ONE MORE PLACE
TO RIGHT Winelands group at NIST has taken the
first step in realizing such a quantum
computer, performing both linear and
MICHAEL GOODMAN

nonlinear operations on bits encoded by


ions and by the rocking motion.
0 0 1 0 1 0
In an exciting theoretical development
under experimental investigation at Cal-
tech, Cirac, Zoller, Kimble and Hideo
SALT CRYSTAL could be made to compute by acting on pairs of neighboring ions. Mabuchi have shown how the photon
Flip the bit held by each B if the A on its left stores a 1; then flip each A if the B on its and ion-trap schemes for quantum com-
right is 1. This moves the information from each A to the B on its right. Now, using the puting might be combined to create a
same tactics, move the information from each B to the A on its right. The process al-
quantum Internet in which photons
lows a line of atoms to act as a quantum wire. Because a crystal can carry out these
double resonance operations simultaneously in all directions with every neighboring are used to shuttle qubits coherently back
ion (bottom, right), the crystal can mimic the dynamics of any system and so serves as and forth between distant ion traps.
a general-purpose quantum analog computer. Although their methods can in princi-

102 Scientific American: The Solid-State Century Quantum-Mechanical Computers


Copyright 1997 Scientific American, Inc.
READOUT from a quantum computer
might look like the image above. Each
colored spot is the fluorescent light com-
ing from a single mercury ion in an ion
trap (left). The light indicates that each
ion is in the same state, so the entire string
reads as a series of 1s.

stroying the coherence between the two,


measuring the first bit also robs the sec-
ond of its ambiguity. I have shown how
quantum logic can be used to explore
the properties of even stranger entangled
states that involve correlations among
three and more bits, and Chuang has used
magnetic resonance to investigate such
ple be scaled up to tens or hundreds of a random bitsomething a classical states experimentally.
GEOFFREY WHEELER (left); NATIONAL INSTITUTE OF STANDARDS AND TECHNOLOGY (right)

quantum bits, the Caltech and NIST computer cannot create. The random- Our intuition for quantum mechanics
groups have performed quantum logic number programs in digital computers is spoiled early on in life. A one-year-old
operations on just two bits (leading some actually generate pseudorandom num- playing peekaboo knows that a face is
wags to comment that a two-bit micro- bers, using a function whose output is there even when she cannot see it. Intu-
processor is just a two-bit microproces- so irregular that it seems to produce bits ition is built up by manipulating objects
sor). In 1997, however, Neil A. Gershen- by chance. over and over again; quantum mechan-
feld of M.I.T., together with Chuang of ics seems counterintuitive because we
Los Alamos, showed that my 1993 Multiparticle Quantum States grow up playing with classical toys. One
method for performing quantum com- of the best uses of quantum logic is to
puting using the double resonance meth-
ods described above could be realized
using nuclear spins at room tempera-
I magine what a quantum computer
can do with two bits. Copying works
by putting together two bits, one with a
expand our intuition by allowing us to
manipulate quantum objects and play
with quantum toys such as photons and
ture. The same result was obtained in- value to be copied and one with an electrons.
dependently by M.I.T.s Cory, working original value of 0; an applied pulse The more bits one can manipulate,
with Amr Fahmy and Timothy F. Havel flips the second bit to 1 only if the first the more fascinating the phenomena one
of Harvard Medical School. With con- bit is also 1. But if the value of the first can create. I have shown that with more
ventional magnets of the kind used to bit is a superposition of 0 and 1, then bits, a quantum computer could be used
perform magnetic resonance imaging, the applied pulse creates a superposi- to simulate the behavior of any quan-
Chuang and Cory both succeeded in tion involving both bits, such that both tum system. When properly programmed,
performing quantum logic operations are 1 or both are 0. Notice that the final the computers dynamics would become
on three bits, with the prospect of con- value of the first bit is no longer the same exactly the same as the dynamics of
structing 10-bit quantum microproces- as it was originallythe superposition some postulated system, including that
sors in the near future. has changed. systems interaction with its environ-
Thus, as it stands, scientists can con- In each component of this superposi- ment. And the number of steps the com-
trol quantum logic operations on a few tion, the second bit is the same as the puter would need to chart the evolution
bits, and in the near future, they might first, but neither is the same as the orig- of this system over time would be direct-
well do quantum computations using a inal bit. Copying a superposition state ly proportional to the size of the system.
few tens or hundreds of bits. How can results in a so-called entangled state, in Even more remarkable, if a quantum
this possibly represent an improvement which the original information no computer had a parallel architecture,
over classical computers that routinely longer resides in a single quantum bit which could be realized through the ex-
handle billions of bits? In fact, even with but is stored instead in the correlations ploitation of the double resonance be-
one bit, a quantum computer can do between qubits. Albert Einstein noted tween neighboring pairs of spins in the
things no classical computer can. Con- that such states would violate all classi- atoms of a crystal, it could mimic any
sider the following. Take an atom in a cal intuition about causality. In such a quantum system in real time, regardless
superposition of 0 and 1. Now find out superposition, neither bit is in a definite of its size. This kind of parallel quantum
whether the bit is a 1 or a 0 by making state, yet if you measure one bit, there- computation, if possible, would give a
it fluoresce. Half of the time, the atom by putting it in a definite state, the other huge speedup over conventional meth-
emits a photon, and the bit is a 1. The bit also enters into a definite state. The ods. As Feynman noted, to simulate a
other half of the time, no photon is emit- change in the first bit does not cause the quantum system on a classical comput-
ted, and the bit is a 0. That is, the bit is change in the second. But by virtue of de- er generally requires a number of steps

Quantum-Mechanical Computers Scientific American: The Solid-State Century 103


Copyright 1997 Scientific American, Inc.
that rises exponentially both with the Factoring could state of the system. Because quantum
size of the system and with the amount computers might still consist of thou-
of time over which the systems behav- be an easy sands or millions of atoms, only one of
ior is tracked. In fact, a 40-bit quantum which need be disturbed to damage
computer could re-create in little more task for a quantum quantum coherence, it is not clear how
than, say, 100 steps, a quantum system long interacting quantum systems can
that would take a classical computer, computer. last in a true quantum superposition. In
having a trillion bits, years to simulate. addition, the various quantum systems
What can a quantum computer do that might be used to register and process
with many logical operations on many information are susceptible to noise,
qubits? Start by putting all the input bits which can flip bits at random.
in an equal superposition of 0 and 1, each lows mathematical rules. A computa- Shor and Andrew Steane of Oxford
having the same magnitude. The com- tion in quantum-parallel mode is like a have shown that quantum logic opera-
puter then is in an equal superposition of symphony: its sound is that of many tions can be used to construct error-
of all possible inputs. Run this input waves interfering with one another. correcting routines that protect the
through a logic circuit that carries out a Shor of Bell Labs has shown that the quantum computation against decoher-
particular computation. The result is a symphonic effect of quantum parallel- ence and errors. Further analyses by
superposition of all the possible outputs ism might be used to factor large num- Wojciech Zureks group at Los Alamos
of that computation. In some weird bers very quicklysomething classical and by John Preskills group at Caltech
quantum sense, the computer performs computers and even supercomputers have shown that quantum computers
all possible computations at once. cannot always accomplish. Shor dem- can perform arbitrarily complex com-
Deutsch has called this effect quantum onstrated that a quantum-parallel com- putations as long as only one bit in
parallelism. putation can be orchestrated so that po- 100,000 is decohered or flipped at each
Quantum parallelism may seem odd, tential factors will stand out in the su- time step.
but consider how waves work in gener- perposition the same way that a melody It remains to be seen whether the ex-
al. If quantum-mechanical waves were played on violas, cellos and violins an perimental precision required to perform
sound waves, those corresponding to 0 octave apart will stand out over the arbitrarily long quantum computations
and 1each oscillating at a single fre- sound of the surrounding instruments can be attained. To surpass the factor-
quencywould be pure tones. A wave in a symphony. Indeed, his algorithm ing ability of current supercomputers,
corresponding to a superposition of 0 would make factoring an easy task for quantum computers using Shors algo-
and 1 would then be a chord. Just as a a quantum computer, if one could be rithm might need to follow thousands
musical chord sounds qualitatively dif- built. Because most public-key encryp- of bits over billions of steps. Even with
ferent from the individual tones it in- tion systemssuch as those protecting the error correction, because of the tech-
cludes, a superposition of 0 and 1 differs electronic bank accountsrely on the nical problems described by Landauer,
from 0 and 1 taken alone: in both cas- fact that classical computers cannot find it will most likely prove rather difficult
es, the combined waves interfere with factors having more than, say, 100 dig- to build a computer to perform such a
each other. its, quantum-computer hackers would computation. To surpass classical simu-
A quantum computer carrying out an give many people reason to worry. lations of quantum systems, however,
ordinary computation, in which no bits Whether or not quantum computers would require only tens of bits followed
are superposed, generates a sequence of (and quantum hackers) will come about for tens of steps, a more attainable goal.
waves analogous to the sound of change is a hotly debated question. Recall that And to use quantum logic to create
ringing from an English church tower, the quantum nature of a superposition strange, multiparticle quantum states
in which the bells are never struck simul- prevails only so long as the environment and to explore their properties is a goal
taneously and the sequence of sounds fol- refrains from somehow revealing the that lies in our current grasp. SA

The Author
SETH LLOYD is the Finmeccanica Career Development Profes- physics from the Rockefeller University in 1988. He has held post-
sor in the mechanical engineering department at the Massachusetts doctoral positions at the California Institute of Technology and at
Institute of Technology. He received his first graduate degree in phi- Los Alamos National Laboratory, and since 1989 he has been an
losophy from the University of Cambridge in 1984 and his Ph.D. in adjunct assistant professor at the Santa Fe Institute in New Mexico.

Further Reading
Quantum-Mechanical Models of Turing Machines That Science, Vol. 261, pages 15691571; September 17, 1993.
Dissipate No Energy. Paul Benioff in Physical Review Letters, Algorithms for Quantum Computation: Discrete Loga-
Vol. 48, No. 23, pages 15811585; June 7, 1982. rithms and Factoring. Peter W. Shor in 35th Annual Sympo-
Quantum Theory: The Church-Turing Principle and the sium on Foundations of Computer Science: Proceedings. Edited by
Universal Quantum Computer. David Deutsch in Proceedings Shafi Goldwasser. IEEE Computer Society Press, 1994.
of the Royal Society of London, Series A, Vol. 400, No. 1818, Quantum Computations with Cold Trapped Ions. J. I. Cirac
pages 97117; 1985. and P. Zoller in Physical Review Letters, Vol. 74, No. 20, pages
A Potentially Realizable Quantum Computer. Seth Lloyd in 40914094; May 15, 1995.

104 Scientific American: The Solid-State Century Quantum-Mechanical Computers


Copyright 1997 Scientific American, Inc.
An item that looks like one of todays
laptops will be a portal into a personal network
with phenomenal organizational skills

THE FUTURE OF THE PC


by Brad Friedlander and Martyn Roetter

ly the whole scene looked. I had to admit that I did. Yet


that little contretemps made me realize that the PN al-
ready exists, albeit flimsily.
All the connections of my little network were out in the
open, but tomorrows fully realized PN will be transpar-
ent, as invisible to the user as the networks that provide
electricity to every outlet in the home. And, for the most
part, the network will require hardly any intervention to
operate.
Whereas the advent and success of the PC can be legit-
imately classified as a revolution, the PN will be the prod-
I think there is a world market for maybe five computers. uct of evolution. PNs will use the same basic architecture
Thomas J. Watson, IBM chairman, 1943 that computers have employed since the days of Thomas
J. Watsons slightly flawed prediction. That architecture,

P
like the architecture of PCs now, will consist of a central
processing unit (CPU), memory and input/output (I/O)
rediction is fraught with peril. The sudden ar- devices. The CPU (for example, a Motorola PowerPC chip
rival in our culture of tens of millions of per- or an Intel Pentium II) does the brainwork, manipulating
sonal computers, however, necessarily makes data. Memory, which includes random-access memory,
one ponder what the near future will bring. Although the or RAM, stores the information, such as the data that the
personal computer lets users perform many different use- CPU is currently using. Meanwhile the I/O devices literal-
ful or entertaining tasks, such as writing letters, playing ly do just that, providing the means for information to
games, sending e-mail or surfing the World Wide Web, it flow into and out of the computer. Typical I/O devices in-
may already bein its current incarnationin its last days. clude those designed for interaction, such as the key-
Rather than interact solely with a personal computer, board, screen and mouse; those involved with storage
users will engage a personal network, which is already for example, disks and CD-ROMs (compact disc, read-
evolving from todays personal computer and its related only memory); and those that serve as communications
devices. The current PCs capabilities barely scratch the devices (modems and Ethernet LAN cards).
surface of what the future may have in store. The In the conventional PC, the CPU, memory and I/O de-
changeover could be well along within a decade. vices are connected via one or more buses, circuits that
The typical personal network (PN) will consist of one provide the communications link making it possible for
or more computing devices in communication with one the various components of the PC to share data.
another, via a mix of permanent and transient communi- In the PN, the network itself is, in effect, the bus: the
cations links. At least one of the devices in a PN will be network architecture implies data flow in any and all di-
recognizable as a descendant of todays ubiquitous PC. rections, with the individual computers fully aware of the
Resembling current high-end laptops, this device will be network and its constituents. Like the members of a
the primary portal by which the user will access the net- baseball team interacting to make a play, member devices
work. (The portal will also function as a conventional PC in the network will often work together. Just as players
when it is disconnected from the rest of the PN.) come to bat individually, however, each network member
In fact, rudimentary PNs already exist. Recently one of will operate some of the time without linking to other de-
us (Friedlander) was working at home and had two lap- vices in the network.
top computers and a desktop computer linked together Some of these individual devices within the PN will be
in a tiny local-area network (LAN). I was using two of dedicated to you, its owner, whereas others will be shared
the machines while moving information to them from the with other users, via their PNs. Again, the primary means
third. My wife happened by during this episode. She for you to access the PN will be a portable computer re-
watched for a moment and then asked if I knew how sil- sembling a high-end laptop. This unit will be dedicated to

106 Scientific American: The Solid-State Century The Future of the PC


Copyright 1997 Scientific American, Inc.
PERSONAL NETWORK will rely on excep-
tionally user-friendly interfaces to increase the
individuals ability to assimilate and dispatch in-
formation quickly and easily. The networks of
people, businesses, government agencies and
other entities will seamlessly interact. If the
daunting technical challenges can be met, the re-
sult for the user will be unparalleled control over
his or her daily environment.

DAVID SUTER

Copyright 1997 Scientific American, Inc.


SCIENTIFIC AMERICAN
IBM
EARLY INFORMATION PROCESSORS were large and often graved at the receiving end. Tomorrows personal computers will
difficult to use. IBMs seminal 701 computer took up a whole also span enormous spaces, but in virtual fashion. High-speed
room (left); the electro-artograph, an early facsimile machine, processors, advanced software and wireless communications will
could work only with a photographic negative, which was en- come together to make connections transparent.

you. Other parts of the network could dar for the day. During your last mo- mation onto your laptop. This includes
include computing devices embedded in ments of sleep, the PN collected infor- items stored on a server within your
your home, in your appliances or in mation from various news outlets, as- PN, such as the newspaper, your calen-
your car. Because other family members signing them priorities based on per- dar and the documents that you revised
will no doubt have their own PNs, some sonal-interest profiles you had created. since arriving home last night. In con-
of these individual devices could be part It turned up the temperature in part of trast to todays laptop, which houses all
of multiple PNs. Various servers, which your home (waiting that extra half an your data, the PN laptop carries only
are relatively powerful computers that hour today) and instructed your cof- copies of data from servers on your PN
anchor the network by performing com- feemaker to brew up a fresh pot. and on your office and other networks.
putationally intensive services for less When you sat down to drink your first Thus, the data are decentralized and
powerful computers on the network, will cup of coffee, a thin but meaty morning can be updated whenever necessary.
manage the PNs and their data stores. newspaper was hot off your printer, This structure is part of what provides
These servers will be shared among a specifically tailored to appeal to your the ability to recover information fully
number of PNs, but the individual PNs concerns. Via voice communication and and easily when a single computing de-
will remain logically separated. a large, flat-screen display, your PN vice fails.
One of the qualities of the PN that notifies you that one of its computing As you check your tie in the mirror,
will make it so versatile will be its dy- devices has failed. Not to worry, how- the PN notifies the navigation comput-
namic and seamless linking to the PNs ever: the network has already er in your car of your departure
of family members, friends or groups submitted a repair order, and, time and destination this
with a common interest, as well as to by the way, no data were morning. The network
shared environments, such as the home lost, thanks to automatic switches the laptop over
or office. A combination of wire-based backups in other net- to sleep mode to con-
and wireless communications will make work devices. You could serve battery life and to
it possible to establish links to other read the paper on the allow you to discon-
PNs anywhere in the world, whenever display, but you still nect it from its link. As
you need to. prefer to actually hold you leave the house,
it in your hands. As the car engine roars to
DAVID SUTER

A Day in the Life you check last nights life. Your vocal com-
box scores for the two mand unlocks the door.

S o much, for now, for the skeletal de-


tails of the personal network. A sce-
nario describing a network in action il-
teams you follow, the PN
reminds you that your wife
is away on business. Yes, IT KNOWS WHEN YOURE
lustrates its power and attraction. Imag- you respond as you continue to AWAKE and many other aspects
about your private life. Moreover, it
ine a Wednesday morning in the autumn read and sip, I noticed as soon as I
will convey that information only to those
of 2007. You awake to the sounds of woke up. The PN ignores your flip- who need it and who have permission to
your favorite music, playing at 7:00 pant tone to further remind you that have it. Encryption technology will keep
A.M., a full half-hour later than usual. she will be flying home tonight. personal information hidden from those
Your personal network has let you sleep Shortly before it is time to leave for outside the individuals sphere of sanc-
late, based on your appointment calen- work, your PN starts to replicate infor- tioned interactions.

108 Scientific American: The Solid-State Century The Future of the PC


Copyright 1997 Scientific American, Inc.
As you back out of the driveway, the But these improvements are among the way must be available to accommodate
navigation computer gets wind of a lowest of the hurdles. variations in individuals pitches and
traffic snarl, informs you and offers an One of the most critical missing piec- word duration. In addition, the proba-
alternate route, estimates your new ar- es of technology is the user interface. bility of a particular word following
rival time, notifies your office staff of The PN will have to interact with people another will clue in the computer to the
your situation and reschedules your ear- in ways that we humans find natural, likelihood of a match.
ly meetings. so as to be attractive to those who may
When you arrive at the office, your have far fewer computer skills than con- Machine-to-Machine Bonding
PN, via the laptop, synchronizes with temporary users do. The voice identifi-
the office network, bringing relevant
items, such as those documents you re-
vised last night, up to date. As you set-
cation in our scenario is a prime exam-
ple of the coming changes, as are speech
recognition and speech synthesis by the
I n addition to communication between
human and machine, the machines
must be able to talk to one another reli-
tle in at your desk, your PN links with computer. Users should ultimately be ably, especially if the PN is to have the
your wifes PN to get her arrival sched- able to interact with their PNs simply capability to interact easily with other
ule and to make a dinner reservation at by talking and listening to them. (Re- peoples PNs. Means of communication
her favorite restaurant. (Youll still get member how the crew of the Enterprise include physical links between machines,
points for being so thoughtful.) spoke with the shipboard computer on such as in home LANs; infrared links
The day passes, highlighted by a vid- Star Trek?) among collocated devices in very close
eo teleconference and a few old-fash- Enabling machines to understand us proximity; short-range radio links, as in
ioned telephone calls and meetings. As enough of the time to be useful still rep- cordless phones; long-range radio links,
you prepare to leave work, your PN resents a formidable challenge. Captain as in cellular phones; and cable or high-
replicates the information you need to Kirk sounds quite different from Scotty. speed connections to the rest of the
take home, synchronizes calendars and Speech patterns may even vary for a world. Both wired and wireless com-
learns that your wifes flight is one hour single person, depending on his or her munications require improvement for
late. It reschedules the dinner reserva- mood or physical health. Nevertheless, the PN to become a reality.
tion and notifies your car, and your systems already exist that allow users Wireless communications techniques
evening begins. some vocal control of PCs, including en- are clearly central to PN mobile comput-
try of data, text and commands. The ac- ing. Users must be able to transmit and
Getting There from Here tive vocabulary can reach tens of thou- access information regardless of their or
sands of words. Depending on aural ap- its location and without a physical con-

A lthough many activities in this imag-


inary day are achievable now, the
protagonist would need to be a highly
titude, the prices for these systems range
from about $100 to as high as $1,500.
Speech recognition is based on identi-
nection. For in-room communication,
infrared systems can already provide
links of up to four megabits per second
skilled computer user and would have fication of phonemes, the smallest acous- (Mbps) over distances of about one me-
to interact frequently, if not constantly, tical components of language. (Spoken ter. Wide-area usage requires radio links.
with each computer in the PN to make English includes roughly 80 phonemes.) The challenges of wireless communi-
this scenario work. Furthermore, the Human speech is sampled by the com- cations are far greater than those posed
equipment would cost a small fortune. puter, with the digitized audio signals by wired links, whether copper or fiber-
All these factors should rapidly change. compared with those on file. Some lee- optic cables. Basic communication pa-
Other examples of nascent PNs al-
ready in existence go a bit further than 10,000 1,100
the three computers in the living room
COST OF A MILLION INSTRUCTIONS PER SECOND

illustration. Many people have palmtop 1,000

MILLIONS OF INSTRUCTIONS PER SECOND


devices, such as the U.S. Robotics Pilot, 900
and synchronize data between these and 1,000
their laptop or desktop. Mobile users 800
frequently connect into a LAN at work
700
to exchange information with other 100
(DOLLARS)

people and to copy any changed files 600


from their laptop to the office desktop.
500
And some homes already have LANs
10
that include both personal and shared 400
resourcesseparate computers for each
parent and child with printers and 300
JARED SCHNEIDMAN DESIGN

scanners that can be used by anyone on 1 200


the network.
For the type of sophisticated network 100
described in our workday setting to 0.10 0
come into being, however, various tech- 1980 1990 2000
nological hurdles still need to be cleared. YEAR
Certainly, processing power must con- SOURCES: Intel; Semico Research Corporation
tinue to improve dramatically and the INCREASES IN PROCESSING SPEED and decreases in costs for those ever higher
cost of that power must continue to fall. speeds will be major factors that allow the development of personal networks.

The Future of the PC Scientific American: The Solid-State Century 109


Copyright 1997 Scientific American, Inc.
rameters, such as transmission speed, er- track of partial or incomplete results. what is known as wireless asynchronous
ror rate, reliability and delay, can change Despite these disadvantages, the great transfer mode (ATM) to reach rates of
substantially and suddenly during the utility of wireless data communications 155 million bits per second or even high-
course of a single wireless communica- has kept interest high. Among the op- er. This system has the further advantage
tion. Such instability is rooted in the tions now being considered or developed of compatibility with the anticipated
variability of radio-frequency noise and are the use of existing cellular telephone spread of ATM-based services in wired
in the signal attenuation that can arise channels for data, as well as dedicated telecommunications. And although to-
from natural sources, such as storms, or wireless data systems. Effective wireless days mobile wireless services transmit
interference from various electronic de- data-transmission speeds (up to 10,000 at frequencies of 800 to 900 megahertz
vices. Mobility throws yet more un- bits per second) are significantly slower and two gigahertz, the Federal Com-
knowns into the equation. For example, than modems over dial-up wired tele- munications Commission has designat-
radio-wave propagation can be suddenly phone lines (up to 33,600 bits per sec- ed frequencies in the tens of gigahertz
interrupted when a building, vehicle or ond). Data speeds over modern digital range for future use as the lower fre-
some other large object comes between cellular systems, however, such as the quencies become crowded.
the transmitting and receiving devices. European GSM (Global System for Mo- In anticipation of this bandwidth
Even the presence or absence of foliage bile Communications), are beginning to availability, high-speed satellite services
may affect transmissions, causing sea- reach these higher levels. are already being planned for shortly
sonal variations in performance. Wireless data speeds currently lag well after 2000. One key project is the Tele-
For these and other reasons, the trans- behind those of various modern data ser- desic multisatellite array, using several
mission speeds available in wireless vices that users can obtain from phone hundred satellites in low-earth orbits.
communications tend to be much slow- companies, such as so-called frame relay Boeing, Microsoft founder Bill Gates
er than those over wired links. The im- lines or the Integrated Services Digital and cellular-telephone business tycoon
plications of these limitations and char- Network (ISDN). Both can offer rates in Craig McCaw are all investing in this
acteristics of wireless communications the megabits-per-second range. But the technology. The Teledesic array will
for software are considerable. While next generation of mobile wireless com- downlink at 18 gigahertz and uplink at
reading, you may be momentarily dis- munications systems, known as IMT 28 gigahertz. It therefore should be able
tracted by the sound of a car alarm, but 2000 (International Mobile Telecom- to provide coverage at up to gigabit-per-
you have no trouble returning to your munications), is aiming at speeds of up second speeds even in the most remote
place and the flow of information. Ap- to two million bits per second. As the parts of the globe. Stanley would be able
plications that are expected to function name implies, IMT 2000 is being devel- to call Dr. Livingstone, who could then
effectively in an environment involving oped by the international telecommuni- send a digital map image directly to
wireless channels must likewise be able cations community for introduction at Stanleys laptop. (High data-rate satel-
to handle variable communications per- the turn of the century. lite link, I presume?)
formance and recover from unexpected Longer-term research and develop- Having all these data flying around
but inevitable interruptions, keeping ment efforts are being directed toward necessarily raises privacy issues. One
wants to ensure that the PN follows or-
ders solely from its owner and that
transmissions reach only those people
or devices one wants to reach (as Newt
Gingrich, Prince Charles and other no-
tables recently discovered, when their
supposedly private cellular phone con-
versations became newspaper head-
lines). In other words, the personal net-
work must be secure.

Open, Says Me

A ccess to PN devices will be gov-


erned by biometrics, which is the
use of physiological features, such as
the pattern of a voice, fingerprint or
iris, to permit activation (for example,
RICOCHET/METRICOM, INC.

voice recognition allows you and only


you to enter your car). Classic controls,
such as passwords, will also supple-
ment biometrics.
The core of security, however, will be
RADIO TRANSMITTERS inconspicuously placed on light poles a quarter mile apart public-key cryptography, a mathemati-
have already established a wireless communications network over sections of Seattle, cally based system that will be used to
San Francisco and Washington, D.C. A wireless modem in communication with these secure owner control over the PN and
devices, which in turn interface with wired access points, enables users of the network allow for privacy both within the net-
(built by Metricom) to access information far from telephone lines. work and when linking with other net-

110 Scientific American: The Solid-State Century The Future of the PC


Copyright 1997 Scientific American, Inc.
works. (The encryption systems known
as RSA and PGP are examples of pub- POSITIVE
lic-key cryptography.) ELECTRODE
POSITIVE
Public-key cryptography works by CURRENT
assigning each person two different keys, COLLECTOR
which are actually two related numbers.
Both keys are many digits in length and
would be impossible for most people to POSITIVE
memorize. They are typically stored on TERMINAL

JARED SCHNEIDMAN DESIGN


your computers hard drive or on a disk. NEGATIVE
The public key is available to anyone CARRIER TERMINAL
who wants to send you a secure message. NEGATIVE
SOLID SEALING
The private key, however, is known only CURRENT COLLECTOR NEGATIVE COMPOUND
POLYMER
to you. As the name implies, public-key ELECTRODE
ELECTROLYTE
cryptography can ensure encryption and
therefore privacy. A sender encrypts a LITHIUM POWER SOURCE, often called a chewing gum cell, is one of the most
message to yousay, a credit-card num- promising recent developments in mobile power sources. The battery is flat and flexi-
berusing your public key. The message ble, like a stick of chewing gum (one of its manufacturers refers to its product as a film
can be deciphered, however, only with battery because its batteries are also reminiscent of film frames). These batteries, which
both the public and the private key could soon be as thin as 0.2 millimeter, can be manufactured in long, continuous strips,
and only you have the latter. which should reduce production costs. Both NiCd and NiMH cells can also be pro-
Another critical technology is soft- duced using the chewing gum format.
ware. Programs will have to make sense
to multiple computers and operating
systems. Software will no doubt be writ- loss. Backup batteries will provide pow- First, users will need to believe that the
ten in a language (like Java) that can er for the minutes necessary to save all benefits of the personal network exceed
translate commands into readable in- data and shut the system down cleanly. the potential for failures, which would
structions for whatever device needs When power is again available, the net- disrupt usage, and for misuse or expo-
them. In our scenario, the news collec- works components would be cued to sure of personal information. The sec-
tion was made possible by programs restart automatically. ond is the willingness of hardware, soft-
running on the various news servers. Among the most significant improve- ware and network vendors to cooperate
ments in batteries are the use of creative in defining and implementing the stan-
Big Challenge: Juice packaging techniques to enhance ener- dards necessary for the seamless inte-
gy density, along with the introduction gration we envision.

P erhaps the greatest challenge to the


creation of the PN, as it is for the
electric car or even for your portable
of lithium ion technology. Lithium of-
fers higher energy densities than earlier
rechargeable technologiesnickel cad-
Should these problems be overcome,
the worldwide interplay of personal net-
works could create a global network,
compact-disc player, is also one of the mium (NiCd) and nickel metal hydride which would bring all of us closer to-
most seemingly mundane ones: build- (NiMH) [see illustration above]. gether. The so-called six degrees of sep-
ing better batteries. Long-lasting and As long as technology continues to aration, which says that every person
flexible batteries will allow the devices evolve along current capability and cost on the earth is only six acquaintances
in the PN to continue to function with- curves, the personal network should away from any other person, may still
out a constant incoming energy supply. exist at a price comparable to that of rule. But the time and effort required to
Systems known as uninterruptible pow- todays high-end PCs. Although we see traverse those six degrees would vanish.
er supplies will also protect critical com- no insurmountable barriers, two major Such a world could be a very interest-
ponents of the PN in case of a power nontechnical stumbling blocks exist. ing place indeed. SA

The Authors

BRAD FRIEDLANDER and MARTYN ROETTER are both nology. Roetter currently focuses on assisting network operators
principals in the management and technology consulting firm and their equipment suppliers as they apply new broadband trans-
Arthur D. Little, based in Cambridge, Mass. Friedlander helps the mission and switching technologies and network architectures to
firms customers worldwide make effective use of information tech- deploy new services to their customers.

Further Reading
Being Digital. Nicholas Negroponte. Alfred A. Knopf, 1995. No. 6; March 25, 1997.
Computers. Egil Juliussen in IEEE Spectrum, Vol. 34, No. 1, What Will Be: How the New World of Information Will
pages 4954; January 1997. Change Our Lives. Michael Dertouzos. HarperSanFrancisco
The PC of the Future. Special issue of PC Magazine, Vol. 16, (HarperCollins), 1997.

The Future of the PC Scientific American: The Solid-State Century 111


Copyright 1997 Scientific American, Inc.
LUCENT TECHNOLOGIES; ILLUSTRATIONS BY DUSAN PETRICIC

112 Scientific American: The Solid-State Century Copyright 1997 Scientific American, Inc.

Potrebbero piacerti anche