Sei sulla pagina 1di 19

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

VALLIAMMAI ENGINEERING COLLEGE


SRM Nagar, Kattankulathur

Department of Electronics and Instrumentation Engineering


Question Bank
ET7102- MICROCONTROLLER BASED SYSTEM DESIGN
UNIT I
8051 ARCHITECTURE
PART-A
1. List the addressing modes of 8051?(Jan 15)
1. Direct addressing 2. Register addressing
4. Implicit addressing 5. Immediate addressing
7. Bit addressing

3.Registerindirect addressing
6.Index addressing

2. How is serial communication performed in 8051? (Jan14)


The serial port receive and transmit registers are both accessed at special function
register SBUF.
3. Give the important control pins of 8051. (Jan14)
Pin 10: RXD Serial asynchronous communication input or Serial synchronous
communication output.
Pin 11: TXD Serial asynchronous communication output or Serial synchronous
communication clock output.
Pin 12: INT0 Interrupt 0 input.
Pin 13: INT1 Interrupt 1 input.
Pin 14: T0 Counter 0 clock input.
Pin 15: T1 Counter 1 clock input.
Pin 16: WR Write to external (additional) RAM.
Pin 17: RD Read from external RAM.
4. If the crystal frequency is 22MHz, what will be the baud rate if (Jan13)
(a) TH1=-3
(b) TH1=-12 with SMOD=0 and SMOD=1.
With SMOD=0, Machine cycle freq=22/12=1833kHz and 1833/32=57.281KHz.
(a) With TH1=-3, the baud rate is 57281/3 = 19093.
(b) With TH1=-12, the baud rate is 57281/12=4773
With SMOD=1, the baud rates are doubled.
(a) With TH1=-3, the baud rate is 3818
(b) With TH1=-12, the baud rate is 9546.
5. Write one instruction each using the following addressing modes (Jan13)
(a) Immediate
=MOV R2,#90H
(b) Register
=MOV R2,R3
(c) Register Indirect =MOV A,@R0
(d) Direct
=MOV
A,50

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 1

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

6. How many hardware interrupt pins are available in microcontroller 8051 and
ROM locations when activated? (June / July 2013)
There are Two hardware interrupts pins are available in 8051.
External interrupt 0 : IE0 : 0003H
External interrupt 1 : IE1 : 0013H
7. What is the size of the stack pointer in MC8051? (June / July 2013)
Stack pointer size is a 16 bit register and it will point to the stack memory. It holds the
address of the stack memory when an subroutine or interrupt is invoked.
8. How is the program memory organized in 8051 (June12)
External memory depends on the EA pin logic state:

9. Write the vector address of different interrupts in 8051. (June12)


The interrupts are:
Vector address
External interrupt 0 : IE0 : 0003H Timer interrupt 0 : TF0 : 000BH
External interrupt 1 : IE1 : 0013H
Timer Interrupt 1 : TF1 : 001BH
Serial Interrupt
Receive interrupt : RI : 0023H
Transmit interrupt: TI : 0023H
10. List all the SFRs involved in 8051. (Jan11)
ACC,B,PSW,SP,DPTR,P0,P1,P2,P3,IP,IE,TMOD,TCON,TH0,TL0,TH1,TL1,SBUF,
PCON
11. Differentiate among SJMP, AJMP & LJMP instructions of 8051
microcontroller. (Nov-2010)
SJMP short jump, two byte instruction, offset -127 to +128 AJMP Absolute jump, two
byte instruction, offset within 2Kbytes LJMP Long jump, three byte instruction, offset
within 64 Kbytes
12. Specify the purpose of overflow flag in 8051 Microcontroller. (Nov-2010)
Overflow flag is set to denote any overflow from D7 bit using Arithmetic and logic
operations.
13. Name any two bit addressable special function registers of 8051 microcontroller.
(Nov-2010/Jan15)
NAME Function Internal RAM Address
A Accumulator
0E0
DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 2

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

B Arithmetic
IE Interrupt enable control
IP Interrupt priority
P0 Input/Output port latch
P1 Input/Output port latch
P2 Input/Output port latch
P3 Input/Output port latch
PSW Program status word
SCON Serial port control
TCON Timer/Counter control

I-SEM. / M.E.(C&I)

2015-2016

0F0
0A8
0B8
80
90
0A0
0B0
0D0
98
88

14. Define XTAL1 and XTAL2. [MAY/JUNE 2009]


Inbuilt oscillator is one which derives the necessary clock frequency for the operation
of the controller. XTAL1 is the input of amplifier and XTAL2 is the output of the
amplifier.
15. What is meant by microcontroller?
A device which contains the microprocessor with integrated peripherals like memory,
serial ports, parallel ports, timer/counter, interrupt controller, data acquisition
interfaces like DAC is called microcontroller.
16. Give the alternate functions for the port pins of port3?
RD, WR, T1, T0, INT 1, INT 0, TXD, RXD.
RD Read data control output
WR Write data control output
T1 Timer / counter 1 external input or test pin
T0 Timer / counter 0 external input or test pin
INT 1 Interrupt 1 input pin
INT 0 interrupt 0 input pin
TXD Transmit data pin for serial port in UART mode
RXD Receive data pin for serial port in UART mode
17. Specify the single instruction, which clears the most significant bit of B register
of 8051, without affecting the remaining bits.
Single instruction, which clears the most significant bit of B register of 8051, without
affecting the remaining bits, is CLR B.7.
18. Explain the 16-bit registers DPTR and SP of 8051.
DPTR:
DPTR stands for data pointer. DPTR consists of a high byte (DPH) and a low byte
(DPL). Its function is to hold a 16-bit address. It may be manipulated as a 16- bit data
registers. It serves as a base register in indirect jumps, lookup table instructions and
external data transfer.
SP:
SP stands for stack pointer. SP is a 8-bit wide register. It is incremented before data is
stored during PUSH and CALL instructions. The stack array can reside anywhere inchip RAM. The stack pointer is initialized to 07H after a reset. This causes the stack
to begin at location 08H.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 3

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

19. Name the special functions registers available in 8051.


Accumulator, B Register, Program status Word, Stack pointer, Data pointer,Port 0,
Port 1, Port 2, Port 3, interrupt priority control register, Interrupt enable control
register.
20. List the features of 8051 microcontroller?
The features are
4096 bytes program memory on chip (not on 8031)
128 data register banks
Four register mode, 16-bit timer/ counter.
Extensive Boolean processing capabilities.
64 KB external RAM size
32 bi-directional individually addressable I/O lines.

PART - B
1. Draw the internal structure of the MC8051 and explain functional blocks. (June / July
2013) (Jan13,Jan 15)
2. State all the addressing modes of MC8051 and explain the corresponding mnemonic
through an example. (June 14 / July 2013)
3. Explain in detail the various modes of operation of timers with an application
program (Jan 14, Jan13)
4. Write detailed note on how serial communication is handled by 8051. (Jan13)
5. With neat diagram explain how interrupts are handled by 8051 with an application
example. (Jan13, Jan 15)
6. Discuss in detail about the instruction set of 8051. (Jan13)
7. Explain the data memory organization in 8051 in detail. (June12)
8. Explain the operation carried out when the following instructions are executed by
8051. (June12)
(i) MOV 33H, R0
(ii) MOV@R1, #80H
(iii)MOVX A,@R1
(iv) DJNZ R6, L1 where L1 is a Label
9. With neat diagram, describe the structure of parallel port P0 in 8051 in detail.
(June12)
10. Discuss the pin details of 8051.( June11)
DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 4

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

UNIT II
8051 PROGRAMMING
PART A
1. Write a program to perform multiplication of 2 nos using 8051?(Jan 15)
MOV A,#data 1
MOV B,#data 2
MUL AB
MOV DPTR,#5000
MOV @DPTR,A(lower value)
INC DPTR
MOV A,B
MOVX@DPTR,A
2. Define RTOS? (June / July 2013) (Jan14)
A real-time operating system is an operating system intended to serve real-time
application requests. It must be able to process data as it comes in, typically without
buffering delays. Processing time requirements are measured in tenths of seconds or
shorter.
3. What are the interrupts used in 8051? (Jan14)
External interrupt 0
External interrupt 1
Timer interrupt 0
Timer Interrupt 1
Serial Interrupt
Receive interrupt : RI

Transmit interrupt: TI

4. Distinguish between hardware interrupts and software interrupts. (June / July


2013)
Hardware Interrupts : Some pins on the 8051 allow peripheral device to interrupt the
main program for I/O operation, where microcontroller are used to receive interrupt
requests are called H/W Interrupt.
Software Interrupts: In S/W interrupts, the cause of the interrupt is an execution of the
instruction.
5. For the SCON register, brief the function of the 8 bits. (Jan13)
Serial Port Control (SCON) Register

SM0 - Serial port mode bit 0 is used for serial port mode selection.
SM1 - Serial port mode bit 1.
SM2 - Serial port mode 2 bit, also known as multiprocessor communication
enable bit. When set, it enables multiprocessor communication in mode 2 and 3,
and eventually mode 1. It should be cleared in mode 0.
REN - Reception Enable bit enables serial reception when set. When cleared,
serial reception is disabled.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 5

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

TB8 - Transmitter bit 8. Since all registers are 8-bit wide, this bit solves the
problem of transmiting the 9th bit in modes 2 and 3. It is set to transmit a logic 1
in the 9th bit.
RB8 - Receiver bit 8 or the 9th bit received in modes 2 and 3. Cleared by
hardware if 9th bit received is a logic 0. Set by hardware if 9th bit received is a
logic 1.
TI - Transmit Interrupt flag is automatically set at the moment the last bit of one
byte is sent. It's a signal to the processor that the line is available for a new byte
transmite. It must be cleared from within the software.
RI - Receive Interrupt flag is automatically set upon one byte receive. It signals
that byte is received and should be read quickly prior to being replaced by a new
data. This bit is also cleared from within the software.
As seen, serial port mode is selected by combining the SM0 and SM2 bits:
S M0

S M1

MO DE

DE S C RI PT I O N

\ B A UD R AT E

8-bit Shift Register

1/12 the quartz frequency

8-bit UART

Determined by the timer 1

9-bit UART

1/32 the quartz frequency


(1/64
the
quartz
frequency)

9-bit UART

Determined by the timer 1

6. Write a program to add two 16 bit numbers. (Jan13)


MOV DPTR, #2040H
MOV A, #2B
MOV B, #20
ADD A, DPL
MOV DPL, A
MOV A, B
ADDC A, DPH
MOV DPH, A
Loop SJMP Loop
7. Write the operation carried when the instruction MOVC, A@A+PC is executed
by 8051. (June12)
This instruction moves a byte of data located in the program (code) area to A. The
address of the desired byte o f data is formed by adding the program counter (PC)
register to the original value of the Acc.
8. What are the different operations performed by Boolean variable instruction of
8051 (May11)
Boolean Variables are: AND AND operation, OR- OR operation, XOR-xor
operation, NOT- not operation, Rotate and SWAP operation.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 6

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

9. Define TASK.
Task is nothing but the operations performed by the operating system or applications
executed by the operating system.If more than one task can be running at one time ,
then the operating system is known as multitasking operating system. Each task is
given a short slice of the processors execution, which allows it to execute all or part
of its function before control is passed to the next task in line.
10. Write an 8051 assembly language to copy 10 bytes from RAM starting at 35H to
RAM starting at 60H. (NOV-2010)
ORG 0030H
MOV R0,#35H
MOV R1,#60H
MOV R2,#10
REPT:MOV A, @RO
MOV @R1,A
INC R0
INC R1
DJNZ R2,REPT
HERE:SJMP HERE
11. List the applications of microcontroller. [MAY/JUNE 2009]
Stepper motor interfacing
Length measurement
Square wave generator
Traffic light control.
12. Write a program using 8051 assembly language to change the data 55h stored in
the lower byte of the data pointer register to AAH using rotate instruction?
MOV DPL, #55H
MOV A, DPL
RL A
LABEL: SJMP Label
13. Explain the contents of the accumulator after the execution of the following
program segments?
MOV A,#3CH
MOV R4,#66H
ANL A,R4
A =3C; R4= 66; A 24
14. Write a program to mask the 0th&7th bit using 8051?
MOV A,#data
ANL A,#81
MOV DPTR,#4500
MOVX @DPTR,A
LOOP SJMP LOOP

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 7

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

15. Write about CALL statement in 8051?


There are two subroutine CALL instructions. they are
*LCALL(Long CALL)
*ACALL(Absolute CALL)
Each increments the pc to the 1st byte of the instruction & pushes them in to the stack.
16. Write a program to load accumulator DPH & DPL using 8051?
MOV A,#30
MOV DPH,A
MOV DPL,A

17. Mention the importance of RTOS for real time applications.


A key characteristic of an RTOS is the level of its consistency concerning the amount
of time it takes to accept and complete an application's task; the variability
isjitter. A hard real-time operating system has less jitter than a soft real-time operating
system. The chief design goal is not high throughput, but rather a guarantee of a soft
or hard performance category. An RTOS that can usually or generally meet
a deadline is a soft real-time OS, but if it can meet a deadline deterministically it is a
hard real-time OS.
18. State the significance of RTOS.
Key factors in a real-time OS are minimal interrupt latency and minimal thread
switching latency; a real-time OS is valued more for how quickly or how predictably
it can respond than for the amount of work it can perform in a given period of time
19. What are the distinct address spaces supported by 8051.
The 8051 architecture supports several distinct physical address spaces. a) On - chip
program memory b) On - chip data memory c) External program memory, d).
External data memory,
e).On-Chip special function registers
20. What is the significance of Interrupt Priority Control Register in 8051 micro
controller
Register IP bits determine if any interrupt is to have a high or low priority. Bits set to
1 give the accompanying interrupt a high priority; a 0 assigns a low priority.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 8

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

PART B
1. (i)Write 8051 ALP to arrange ten 8-bit data in the internal RAM stored as an array
from the address 50H in descending order. ((June12)
(ii)Write an ALP for the conversion of analog signal into a digital value through
successive approximation technique.(June / July 2013)
2. Write 8051 ALP to generate a square wave of 400Hz in port pinP1.4 by using timer1
overflow interrupt. (June12)
3. (i) Write a program to bring in a byte of data serially one bit at a time via p1.0.
(Jan13)
(ii) Write a program t toggle the bits of P1, while creating a time delay of 200ms.
(Jan13)
(iii) Write a program to get a value from P1 and send the square of its value to P2
continuously. (Jan13)
4. Analyse the importance of the interface operations of RTOS lite along with its
explanation. (June12)
5. Design a task diagram for LCD digital clock/thermometer using Full RTOS and
explain it. (June12)
6. Explain in detail about RTOS with examples. (Jan 15/ July 2013)
7. Discuss in detail about applications of different RTOS for 8051 with necessary
diagrams. (Jan13)
8. i) Write an assembly language program to copy the value 55H into RAM memory
locations 40H to 45H using register indirect addressing with a loop.
ii) Assuming the clock pulse is fed into Pin T1. Write a program for counter 1 in
mode 2 to count the pulses and display the state of the TL 1 count on P2.
9. Explain the data transfer, data manipulation, control and I/O instructions.
10. Discuss in detail about Interrupt facility and its programming technique using RTOS.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 9

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

UNIT - III
PIC MICROCONTROLLER
PART A
1. What is the difference between 8051 and PIC?(Jan15)
*8051 ia microcontroller which contains the microprocessor with integrated
peripherals like memory, serial ports, parallel ports, timer/counter, interrupt
controller, data acquisition interfaces like DC,DAC is called microcontroller
*PIC refers to Programmable Intelligent Computer. PIC is microprocessor lies inside
a personal computer but significantly simpler, smaller and cheaper. It can be used for
operating relays, measuring sensors etc.
2. What is I/O port of PIC? (Jan14)
I/O port is used to get and send the data from/to external devices.Some I/O pins have
multifunctions.
3. Write any four instructions of PIC microcontroller and state in a line the
operation performed. (Jan14)
MOVLW 25H
;
WREG=25
ADDLW 0X34
;
ADD 34H TO WREG
ADDLW
;
ADD 11H TO WREG
ADDLW
;
W=W+12H=7CH
4. What is RISC? (June14 / July 2013)
o RISC means Reduced Instruction set computer.
o Small set of frequently used instructions.
o Acess speed is high and low cost.
5. What are the groups of instruction set in PIC micro controller? (Jan13)
1. Bit oriented Instructions
2. Instructions using a literal value
3. Byte oriented instructions
4. Table read and write instructions
5. Control instructions using branch and call.
6. Using the instruction of PIC microcontroller, convert BCD to Hex. (Jan13)
MOVLW 0X54
ADDLW 0X87
DAA.
7. How is the internal RAM in PIC microcontroller accessed by indirect
addressing? (June12)
LFSR 0,0x30
LFSR 1, 0x40
8. What are the features of MP-LAB integrated development environment?
(June12)
Provides a new Call Graph for navigating complex code
Supports Multiple Configurations within your projects
DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 10

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

Supports Multiple Versions of the same compiler


Support for multiple Debug Tools of the same type
Supports Live Parsing
Import existing MPLAB 8 projects and use either IDE for the same source

9. Give the architecture of PIC 16C6x series.(Jan12)


PIC16C6x series of microcontrollers uses Harvard architecture. It uses separate buses
for accessing code and data.ie., four set of buses two each (address and data) for data
and code
10. Explain about watchdog timer?(Jan11)
It is a timer circuit which monitors the continuous functioning of processor with
respect to time and prevents the endless loop hanging condition.
11. List the significance of brown out reset mode?
When the power supply falls below a certain voltage,it causes PIC to reset.This is
called as brown out to reset mode.
12. List the importance of status register?
It indicates the program status after the ALU calculations are carried out, with its bit
position in the status register.
13. Give the bit position of PSW.
D0-carry, D1-Digit carry,D2-Zero,D3-power down D4-time out D5-Register bank
select D6,D7-undefined.
14. What is meant by PCLATH? Give its use.
It is program counter latch. Any write to PCL will cause the contents of PCLATH to
be transferred to PC higher locations.
15. Give the importance of MCLR?
It is called master clear pin, This pin is used to reset the PIC.
16. What are the addressing modes of PIC?(Jan 12)
Addressing is defined as how the operands are specified in the instruction. Direct
addressing and indirect addressing mode.
17. What do you mean by direct addressing mode?
It uses 7 bits of the instruction and the 8th bit from RP. It directly give the address
where the datais present.ie, the address of the operand is given in the instruction.
18. What is instruction pipelining?(Jan 11)
It allows the CPU to fetch and execute at the same time while executing one
instruction, CPU will fetch next instruction to be executed
19. What is indirect addressing mode?
Indirectly addressing the memory used in FSR and INDF instruction. Here the
operand is specified indirectly in the instruction.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 11

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

20. What is Acess bank in PIC18?


It is the default bank which is invoked when power up.It is divided into two equal
sections of 128 bytes, which is given to GPR and SFR

PART B
1. Explain the architecture of PIC16F877 with necessary diagrams. (June12) (June /
July 2013) (Jan13)
2. With a neat diagram discuss in detail about memory organization of a PIC
microcontroller. ( Jan 15, Jan13)
3. What are the addressing modes of PIC microcontroller? (Jan 15, Jan13)
4. Design the PIC Timer Interrupts at the intervals: 0.0, 1ms, 1.5 ms,3.0 ms and this
shall be repeated as long as MC is switched ON.
5. List and explain the hardware features of timer 1 in PIC16F877 with neat diagram.
(June12)
6. Explain in detail the various functions of the PORTS in PIC microcontroller. (Jan13)
7. Write a program to write the data in memory and EPROM using PIC.
8. Create a pulse of a positive going pulse to RC2/CCP1 pin of PIC microcontroller.
Assuming osc = 4 MHz and that the pulse width is less than 65535us and longer than
300us using PIC.
9. Explain the instruction set of PIC microcontroller.
10. Discuss any one application of PIC in detail with necessary diagram.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 12

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

UNIT IV
PERIPHERAL OF PIC MICROCONTROLLER

PART-A
1. What are the different capture modes available in the capture module of PIC
microcontroller? (June12)
Three modules are there. (i) CCP1
(ii) CCP2
(iii) CCP3
2. Write the function of the bits EEPGD and WRERR bits in the EECONI register
in PIC Microcontroller. (June12)
EEPGD-Flash Program or Data EEPROM Memory select bit
1=Access Program flash memory; 0= Access data EEPROM memory
WRERR Write Error Flag bit
1=Write operation is prematurely terminate; 0=the write operation is completed.
3. Calculate the resolution of 10bit ADC having Max. analog value +10.0 volts.
(June / July 2013)
Resolution of a converter determines the degree of accuracy in conversion. It is equal
to 1/2nso, 1/210=0.000976
4. What are the interrupts available in PIC? (Jan14)
Interrupt Source
External interrupt from
INT
TMR0 interrupt
RB4RB7 state change
EEPROM write complete

Enabled by
INTE = 1

Completion Status
INTF = 1

T0IE = 1
RBIE = 1
EEIE = 1

T0IF = 1
RBIF = 1

5. How EEPROM memory stores the information? (Jan15, Jan14)


A EEPROM is a memory that allows storing the variables, as a result of burning the
written program.
6. What is flash memory? (Jan15/Jan13)
Erasure of the entire contents takes less than a second or one might say in a flash,
hence its name flash memory. Flash memorys contents are erased (or written to), the
entire device is erased.
7. Using PIC micro controller how is analog signal converted into digital. (Jan13)
ADC to translate the analog signals to digital numbers. So, that the microcontroller
can read and process them.
Sensor

ADC

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Display
Page 13

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

8. How many timers are there in PIC?


PIC may have 3 to 5 timers depending on the series of the PIC. Timer0,1 and 2 etc.,.
9. Brief timer0.
It is an 8 bit wide timer. Internal clock is fosc/4 and external clock is given at RA4
pin.It is used for timing and generating time delay.
10. How do you calculate the timer0 delay?
The delay for Timer0 is given by
Timer0count x prescaler value x 4/fosc.
11. How do you calculate timer0 preload count?
The preload count for Timer0 is given by
256-(timer0delay x fosc)/ (prescalervalue x 4)
12. What are Port A pins?
The Port pins of PIC are RA0,RA1,RA2,RA3 and RA4.
13. What is the function of TRISA pin?
Setting TRISA bit will configure portA as input and resetting will configure as output
port.
14. Write a program to initialize portA.
Org0
BcfSTATUS.RP0
clrf PORTA
bsf STATUS.RP0
movlw 00010000H
movwf TRISA.
End
15. What is the status of ADON?
When ADON=0 then AD is off, when ADON=1 then AD is turned ON..
16. What are the bit postions of ADCON?
D0-ADON,D1-ADIF,D2-Go/Done,D3-CHSO,D4-CHS1,D5-undefined,D6ADSC0,D7-ADSC1.
17. Explain about UART?
Universal asynchronous receiver transmitter. UART is useful for receiving and
transmission of datas in asynchoronous mode.
18. What is synchronous and asynchronous transmission.
Asynchronous start and stop bit allowed for transmission of data.
Synchronous no start and stop bit only block header data
19. What is baud rate in asynchronous mode?
The baud rate in asynchoronous mode is given by B.R = Fosc/64.(x+1) for low
speed,and Fosc/16(x+1) for high speed.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 14

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

20. How do you configure the ports as input and output?


Any ports can be made as input by setting the port bits and they can be set as output
by resetting the port bits.

PART B
1. Explain the interrupt structure of PIC16F877 indicating all the registers associated
with the interrupts. (June12)
2. Discuss the flash type ADC and its applications. Also explain how to interface it with
PIC.
3. Explain in detail how analog interfacing with PIC can be accomplished using the
digital I/O functions of PIC.(Jan15)
4. Discuss briefly how serial interfacing is accomplished in PIC. (Jan15)
5. With an application program explain in detail the compare, capture mode of the PIC
microcontroller with a neat circuit diagrams. (Jan13)
6. Discuss in detail about the following (i) Timers and (ii) Interrupt

(Jan13)

7. (i).Discuss in detail of I C in PIC microcontroller. (Jan13)


(ii). Briefly explain about UART in PIC microcontroller.(Jan13)
8. How asynchronous communication is carried out in PIC 16f77a?. Explain in detail.
9. Explain the I/O ports in PIC microcontroller.
10. Briefly explain about flash memory in PIC with necessary diagram.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 15

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

UNIT-V
SYSTEM DESIGN CASE STUDY
PART A
1. How can the LCD be tested whether it is ready or not to receive a command or
data? (June12)
The steps that has to be done for initializing the LCD display is given below and these
steps are common for almost all applications.
Send 38H to the 8 bit data line for initialization
Send 0FH for making LCD ON, cursor ON and cursor blinking ON.
Send 06H for incrementing cursor position.
Send 01H for clearing the display and return the cursor.
Sending data to the LCD.
The steps for sending data to the LCD module are given below. It is the logic state of
these pins that make the module to determine whether a given data input is a
command or data to be displayed.
Make R/W low.
Make RS=0 if data byte is a command and make RS=1 if the data byte is a data to
be displayed.
Place data byte on the data register.
Pulse E from high to low.
Repeat above steps for sending another data.
2. What is a data acquisition system? (June12) (Jan14)
Data acquisition is the process of sampling signals that measure real world physical
conditions and converting the resulting samples into digital numeric values that can be
manipulated by a computer. Data acquisition systems typically convert analog
waveforms into digital values.
3. What are the types of data acquisition system?
There are two types of data acquisition system (i) single and (ii) multichannel data
acquisition system
4. What are the advantages of microcontroller based control over conventional
control? (June / July 2013)
Speed is High
Hardware requirement is very less.
5. State the principle of measurement of Frequency using 8051 (Just the Block
diagram) (June / July 2013)

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 16

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

6. What is interfacing? (Jan14)


Interfacing means connecting microcontrollerwith external devices. The external
devices are Input devices, output devices, Memory chip, External applicatons.
7. While programming for LCD display, what initialization has to be done?
(Jan13)
When D7=0, the LCD is ready to receive new information.
8. What is the need for D/A converter?. (April/May 2011)
D/A converter (Digital to Analog Converter) is used to interface the microprocessor
output with the external device.
9. Program to rotate the stepper motor clockwise / anticlockwise continuously with
full step sequence.
MOV A,#66H
BACK: MOV P1,A
RR A
ACALL DELAY
SJMP BACK
DELAY: MOV R1,#100
UP1:
MOV R2,#50
UP:
DJNZ R2,UP
DJNZ R1,UP1
RET
10. In key board interface, if RB7-RB4 =0111 is the data read from the columns,
which column does the pressed key belong to?
If RB7-RB4 =0111 is the data read from the columns, then column number 3 is
activated.
11. Why do we put a driver between the microcontroller and the DC motor?
A driver is needed between the microcontroller and the DC motor because the
microcontroller/digital outputs lack sufficient current to drive the DC motor, It needs
an external driver to drive the motor.
12. How to calculate the duty ratio in PWM.
Duty ratio in PWM is given by D=TON/T

13. What is the DC value of the PWM output?


Ranges from zero to whatever maximum value is used to power the motor
14. What is voltage regulation of DC-DC converter?
This is to stabilize the output signal in a converter using DSP processor as a
controller.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 17

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

15. Define delay angle or firing angle.


Delay angle or firing angle is defined as the time after the input voltage starts to go
positive until the thyristor is fired at cot = .
16. How to change the output voltage of a square wave inverter?
By using the PWM (Pulse Width Modulation) techniques, the output voltage of an
inverter can be varied.
17. Give the 4 step sequence of a stepper motor if we start with 0110.
0110, 0011, 1001, 1100 for clockwise and 0110, 1100, 1001, 0011 for
counterclockwise.
18. In what ways CISC and RISC processors differ?
CISC
RISC
It provides number of addressing It provides very few addressing modes
modes
It has a micro programmed unit with It has a hardwired unitwithout
a
control
a control memory
memory
An easy compiler design
Complex compiler design
Provide precise and intensive Provide precise and intensive calculations
calculations slower than a RISC
fasterthan a CISC
19. Draw the circuit diagram of Motor interfacing.

20. What is the angle through which a stepper motor will rotate for every output
Step pulse from the microcontroller?
The angle through which the stepper motor will rotate is known as the step angle. It
depends on the number op poles of the stepper motor.

PART-B
1. Interface a 4X4 hexadecimal keyboard with 8051 and write ALP program to find the
key number that is pressed in the keyboard and send the ASCII code of that key
number to parallel port2 of 8051. (June12) (June / July 2013) (Jan13)
2. With necessary diagram, explain the case study of generation of gating signals for a
single phase half controlled rectifier used to control speed of a DC Motor using any
one microcontroller. (June12)
DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 18

ET7102- MICROCONTROLLER BASED SYSTEM DESIGN

I-SEM. / M.E.(C&I)

2015-2016

3. Draw the circuitry to interface motor with a microcontroller for controlling its speed
and write the program. (Jan13)
4. Write a program for a microcontroller case study to generate control signals for a
converter circuit. (Jan13)
5. Explain the case study for controlling of AC and DC appliances using
microcontroller. (Jan 2014)
6. With neat diagram explain case study in detail how 8051 microcontroller can be
interfaced with Liquid Crystal Display. (Jan15)
7. Explain the case study how the gate signals (assume the switch is SCR or MOSFET)
to half bridge single phase converter are generated.
8. Draw and discuss a case study scheme for microcontroller based multichannel data
acquisition system.
9. (i).Explain the step mode operation of stepper motors with a control strategy.
(ii) Draw and explain the case study of PWM generation using a PIC Microcontroller
10. Discuss the case study how PIC microcontroller can control motors. Provide the basic
circuitry for doing so.

PREPARED BY : V. SURESH KUMAR, A.P. (Sr.G), DEPT. OF. EIE.

DEPT. OF EIE-VALLIAMMAI ENGINEERING COLLEGE

Page 19

Potrebbero piacerti anche