Sei sulla pagina 1di 11

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES

POR: JORGE VILLAGOMEZ


1) IMPLEMENTAR COMO EJEMPLO LA SIGUIENTE TABLA DE VERDAD
USANDO DECODIFICADORES

2) NOS APOYAREMOS DE EL SOFTWARE ISE WEBPACK, GENERANDO UN


NUEVO PROYECTO:

NO OLVIDAR COLOCAR EN TOP LEVEL: SCHEMATIC

3) EN ESTE CASO SE TRABAJARA CON LA COOLRUNNER 2 CPLD

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ

4) GENERAMOS UNA NUEVA FUENTE Y LE DAMOS EN SCHEMATICO,

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ
5) EL CIRCUITO A DISEAR SERA AS, IMPLEMENTANDO LA TABLA DE
VERDAD CORRESPONDIENTE.

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ

6) SELECCIONA FIT, LUEGO EN PROCESS Y POSTERIORMENTE EN PROCESS


PROPIETIES

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ
7) LA CASILLA DE PRESERVE UNUSED INPUTS DEBE DE ESTAR
ENCASILLADA TODO EL TIEMPO.

8) LO COMPILAMOS, SI NOS PIDE GUARDAR LE DAMOS SI.

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ
9) UNA VEZ COMPILADO NO NOS PERMITIRA ASIGNAR LOS PINES AL CPLD
POR UN DEFECTO DEL PROGRAMA POR LO CUAL TENDREMOS QUE
CORREGIR UN PAR DE COSAS.
-BUSQUE ARCHIVOS VHD Y VHF DENTRO PROYECTO QUE GENERASTE.
(EN MI CASO: C:\.Xilinx\Projects\EJEMPLO123)
-

ABRIR CON BLOC DE NOTAS PRIMERO EL ARCHIVO VHD


EN ESTE CASO LOS PINES DEL DECODIFICADOR SON LEIDOS ANTES QUE
LAS MARCAS DE ENTRADAS POR LO CUAL SERA NECESARIO MOVER AL
INICIO ESE PEQUEO PARRAFO.
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
library UNISIM;
use UNISIM.Vcomponents.ALL;

entity EJEMPLODEC123 is
port ( A : in std_logic;
B : in std_logic;
C : in std_logic;
M : out std_logic);
end EJEMPLODEC123;

MUEVA LA DECLARACIN DE ESAS ENTRADAS AL INICIO DEL BLOC CORTANDO Y


PEGANDO, DEBE DE ESTAR FORZOSAMENTE ANTES QUE CUALQUIER COSA EN
EL BLOC Y NO OLVIDE GUARDAR CAMBIOS.

10) HAGA ESE PROCESO TANTO PARA EL ARCHIVO VHD Y VHF.


11) HECHO ESO VUELVA A REPETIR EL PASO 8.

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ
12) ES HORA DE ABRIR PACE UNA APLICACIN QUE NOS AYUDARA EN LA
ASIGNACIN DE PINES AL CPLD.

13) EN ESA ZONA SE ELEGIRA LOS PINES DE ENTRADA Y SALIDA COMO


P32, P67, P88 , ESO DEPENDE DEL MODELO DE CPLD QUE SE UTILICE.

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ

14) EN ESTE CASO SE OPTO POR ASIGNAR LAS ENTRADAS A LOS


PULSADORES DEL CPLD Y LA SALIDA M , DIRECTO A UN LED DENTRO DE
LA TARJETA.

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ
15) HECHO ESO LO GUARDAMOS Y LE DAMOS EN DEFAULT:

16) RETORNAMOS A ISE WEBPACK E INGRESAMOS A IMPACT, SI


MUESTRA UN MENSAJE LE DAMOS EN SI.

17) YA ADENTRO, LE DAMOS EN BOUNDARY SCAN, EN INICIALIZAR


CADENA

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ

18) APARECERE UN MENSAJE LE DAMOS QUE SI, Y BUSCAMOS EL


ARCHIVO .JED DENTRO DE LA CARPETA DEL PROYECTO QUE CREAMOS
EN UN INICIO, LE DAMOS EN SELECCIONAR, APLICAR Y ACEPTAR.

IMPLEMENTACIN DE TABLAS DE VERDAD CON DECODIFICADORES


POR: JORGE VILLAGOMEZ
19) PROGRAMAMOS EL CPLD COMO MUESTRA LA IMAGEN.

20) HECHO ESO EL PROYECTO ESTA ACABADO Y ES HORA DE PROBAR EL


CPLD Y SI HACE LO QUE PEDIMOS, PODEMOS CERRAR IMPACT Y
GUARDAR EL PROYECTO .

Potrebbero piacerti anche