Sei sulla pagina 1di 12

1.

Mediante un estilo funcional, programe en VHDL el funcionamiento de una


lmpara para cdigo Morse que encienda la luz al presionar un botn y la
apague al soltarlo.
1.1 Tabla de verdad
A
0
1

B
0
1

1.2 Ecuacin
B=A
1.3 Diagrama de compuertas

1.4 Programacin en VHDL

1.5 Simulacin del VHDL

2. Con un estilo funcional, programe en VHDL el funcionamiento del motor de


un ventilador en que el motor gire en un sentido al presionar el botn 'a' y
en direccin contraria al oprimir el botn 'b\
2.1 Tabla de verdad
A

0
0
1
1

0
1
0
1

(derecho

(izquierdo

)
0
0
1
0

)
0
1
0
0

2.2 Ecuacin
C = A B
D =A B

2.3 Diagrama de compuertas

2.4 Imagen en VHDL

2.5 Simulacin del VHDL

3. Con un estilo por flujo de datos, programe en VHDL el funcionamiento de un


panel en una fbrica de empaquetamiento de arroz. Este panel muestra el
contenido de 2 silos (a, b) que tiene la fbrica para guardar el arroz; un
sensor detecta cun llenos estn, cuando se encuentran al 100% de su
capacidad, enva un '1 lgico', y cuando tienen 25% o menos enva un '0
lgico'; si en uno de estos silos disminuye el contenido a 25% o menos, se
prende una luz (c), si los dos sobrepasan ese lmite se enciende otra luz (d)
y suena una alarma (e).

3.1 Tabla de verdad


A

0
0
1
1

0
1
0
1

Luz
1
1
1
0

Luz
1
0
0
0

Alarma
1
0
0
0

3.2 Ecuacin
C (Luz)=

A B +

A B + A B
A B

D (Luz)=
E (Alarma)=

A B

3.3 Simplificacin

C (Luz)=

A + A B

D (Luz)=

A B

E (alarma)=

A B

3.4 Diagrama de compuertas

3.5 Programa en VHDL

3.6 Simulacin VHDL

4. Mediante el estilo de programacin por flujo de datos, programe en VHDL el


funcionamiento de un robot en una planta que espera a que se llene una
tarima con cuatro cajas antes de llevarla a la bodega de almacenamiento;
para saber si la tarima est llena cuenta con cuatro sensores, cada uno

apunta a sendas cajas; si hay una caja marca un '1 lgico'; si falta, marca
un '0 lgico'. Si falta alguna caja el robot no se puede ir, cuando estn las
cuatro cajas el robot se lleva la tarima.
4.1 Tabla de verdad
A
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

C
0
0
1
1
0
0
1
1
0
0
1
0
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

E = Robot se mueve
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1

4.2 Ecuacin
5. E (Robot se mueve) = ABCD
4.3 Diagrama e compuertas

4.4 Programacin VHDL

4.5 Imagen de la simulacin

5 Con el estilo de programacin por flujo de datos, programe en VHDL el


funcionamiento de una caja de seguridad cuya apertura requiere la presin
simultnea de tres de cuatro botones ('a', 'b\ 'c' y 'd'). Los botones que se
deben oprimir son: 'a', 'c' y 'd'.
5.5 Tabla de verdad
A
0
0
0
0
0
0
0
0
1

B
0
0
0
0
1
1
1
1
0

C
0
0
1
1
0
0
1
1
0

E (Abrir la

0
1
0
1
0
1
0
1
0

caja)
0
0
0
0
0
0
0
0
0

1
1
1
1
1
1
1

0
0
0
1
1
1
1

0
1
1
0
0
1
1

1
0
1
0
1
0
1

5.6 Ecuacin

E=A B CD

5.7 Diagrama de compuertas

5.8 Programacin en VHDL

0
0
1
0
0
0
0

5.9 Simulacin en VHDL

6 Mediante el estilo de programacin estructural, programe en VHDL el problema


del apagador de escalera. La funcin para este problema es c a b + ab,
donde a es el interruptor inferior, b es el interruptor superior y c es el foco.
6.5 Tabla de verdad
A

0
0
1
1

0
1
0
1

(Foco)
0
0
1
1

6.6 Ecuacin

C = AB + A B
6.7 Diagrama de compuertas

6.8 Programacin VHDL

6.9 Simulacin

7 Con un estilo estructural, programe en VHDL el funcionamiento de un motor


que se enciende con la siguiente ecuacin: y = a b + c b + ac.
7.5 Tabla de verdad
A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

Y
0
1
0
0
1
1
0
1

7.6 Ecuacin
8 Y = A B+ C B+ AC

8.3 Diagrama de compuertas

8.4 Programacin en VHDL

8.5 Simulacin del VHDL

Potrebbero piacerti anche