Sei sulla pagina 1di 8

See

discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/26783511

Nanobits: Customizable scanning probe tips


Article in Nanotechnology October 2009
DOI: 10.1088/0957-4484/20/39/395703 Source: PubMed

CITATIONS

READS

19

67

7 authors, including:
Rajendrakumar Rt

Ozlem Sardan Sukas

Bharathiar University

University of Twente

67 PUBLICATIONS 831 CITATIONS

28 PUBLICATIONS 217 CITATIONS

SEE PROFILE

SEE PROFILE

Volker Eichhorn

Florian Krohs

Dalhousie University

Carl von Ossietzky Universitt Oldenburg

52 PUBLICATIONS 463 CITATIONS

28 PUBLICATIONS 104 CITATIONS

SEE PROFILE

SEE PROFILE

Available from: Florian Krohs


Retrieved on: 01 September 2016

Home

Search

Collections

Journals

About

Contact us

My IOPscience

Nanobits: customizable scanning probe tips

This article has been downloaded from IOPscience. Please scroll down to see the full text article.
2009 Nanotechnology 20 395703
(http://iopscience.iop.org/0957-4484/20/39/395703)
The Table of Contents and more related content is available

Download details:
IP Address: 192.38.67.112
The article was downloaded on 11/02/2010 at 21:52

Please note that terms and conditions apply.

IOP PUBLISHING

NANOTECHNOLOGY

Nanotechnology 20 (2009) 395703 (6pp)

doi:10.1088/0957-4484/20/39/395703

Nanobits: customizable scanning probe


tips
R T Rajendra Kumar1,3, S U Hassan1 , O Sardan Sukas1,
V Eichhorn2, F Krohs2 , S Fatikow2 and P Boggild1,3
1
DTU NanotechDepartment of Micro and Nanotechnology, Technical University of
Denmark, DK-2800 Kgs Lyngby, Denmark
2
Division of Microrobotics and Control Engineering, University of Oldenburg,
D-26111 Oldenburg, Germany

E-mail: rajendra.kumar@nanotech.dtu.dk and peter.boggild@nanotech.dtu.dk

Received 14 July 2009, in final form 6 August 2009


Published 2 September 2009
Online at stacks.iop.org/Nano/20/395703
Abstract
We present here a proof-of-principle study of scanning probe tips defined by planar
nanolithography and integrated with AFM probes using nanomanipulation. The so-called
nanobits are 24 m long and 120150 nm thin flakes of Si3 N4 or SiO2 , fabricated by
electron beam lithography and standard silicon processing. Using a microgripper they were
detached from an array and fixed to a standard pyramidal AFM probe or alternatively inserted
into a tipless cantilever equipped with a narrow slit. The nanobit-enhanced probes were used for
imaging of deep trenches, without visible deformation, wear or dislocation of the tips of the
nanobit after several scans. This approach allows an unprecedented freedom in adapting the
shape and size of scanning probe tips to the surface topology or to the specific application.
M This article features online multimedia enhancements

Veeco [19] are currently used for sidewall metrology in the


semiconductor industry. While the fabrication of such tips
can be done on a wafer scale, it is safe to assume that the
shape and size is not easily changed to match a different
type of measurement or structure, without optimizing several
critical steps in the fabrication process. Since microfabrication
processes are often highly material-dependent, a change of tip
material will be even more inconvenient.
A serial, but highly flexible, method suitable for rapid
prototyping is direct manipulation [20].
This method
allows the scanning probe tip to be fabricated separately,
individually selected and picked up, and eventually mounted
on the AFM probe at a location and orientation decided
by the user. We previously reported fabrication of a CNTenhanced AFM probe by picking a CNT from an ordered
array and placing this directly on a AFM probe using a
microgripper. The CNT was fixed to the AFM probe using
electron-beam-induced deposition [15, 20]. The pick-andplace approach of integrating functional devices is well suited
for prototyping [20] and even small scale production, since it
can be made compatible with automated fabrication [21].

1. Introduction
The atomic force microscope is used to characterize
the morphological, chemical, electrical and mechanical
properties of surfaces and nanostructures. For a range of
modern semiconductor and photonic devices, metrological
characterization of linewidth and sidewall roughness as well
as depth of vias and deep trenches is essential, which has
created considerable interest in high-aspect ratio AFM tips that
can access these structures. Numerous approaches to fabricate
high-aspect-ratio scanning probes include various forms of
deep reactive ion etching [13], focused ion beam milling [4]
and electron beam deposition (EBiD) [5, 6], deposition or
direct growth of carbon nanotubes [715], in addition to
wafer scale integration of carbon nanotube (CNT) probes on
AFM probes [16, 17]. However, accurate measurements of
sidewalls, vias and trenches using cylindrical or conical tips
typically require tilting of the specimen, which is at best
impractical. This has led to the development of tips with
flared shapes [18, 19]. The critical-dimension flared tips from
3 Authors to whom any correspondence should be addressed.

0957-4484/09/395703+06$30.00

2009 IOP Publishing Ltd Printed in the UK

Nanotechnology 20 (2009) 395703

R T Rajendra Kumar et al

Figure 1. (a) Library of nanobits with different end-effectors. (b) Nanobit integrated with pyramidal AFM probe. (c) Nanobit inserted into a
FIB-milled slit in a tipless Si cantilever.

JBX 9300FS e-beam writer with an acceleration voltage of


100 keV and a beam current of 2 nA, in 250 nm thin ZEP520A resist. (c) An 80 nm Al etch mask is deposited using an
e-beam evaporator and lifted off. (d) The nitride layer is etched
by RIE. (e) The Al mask is removed in a wet etch, followed by
a KOH etch to release the nanobits from the substrate. The
same sequence was carried out for silicon dioxide, except that
the device layer is a 160 nm layer SiO2 grown by wet oxidation.
Figure 2(a) shows the SEM image of an array of free-standing
nitride nanobits while close-ups of silicon oxide and nitride
structures are in figures 2(b) and (c), respectively.
The tip radius of curvature (inset, figure 3(a)) was
typically in the range of 15100 nm. While comparable to
multi-walled carbon nanofibre equipped AFM probes [15], this
is less acute than commercial high-aspect-ratio probes [4],
which can have radii of curvature in the nanometre range.
The fabricated nanobits were characterized in SEM in terms of
their width Wb (at base) and length L as shown in figure 3(b).
The designed and measured aspect ratio ( L/Wb ) of the endeffectors are plotted against 1/Wb for oxide and nitride
nanobits. For narrow, more high-aspect-ratio end-effectors,
the measured aspect ratio is smaller than that designed; aspect
ratios of 25 were readily achieved.

In this work, we consider an approach that involves


fabricating arrays of flake-like tips by planar electron beam
nanolithography for selection, detachment and assembly on
standard pyramidal AFM probes by direct manipulation.
The advantage of this approach is a considerable freedom
in defining the size, shape and even material to meet the
requirements of specific applications. Since the tips are
batch fabricated with electron beam lithography, thousands
of tips with different combinations of size and shape
of the end-effector can be fabricated at no extra effort,
creating large libraries of tip shapes to choose from. We
present here a proof-of-principle study, where such so-called
nanobits are fabricated from silicon dioxide or silicon
nitride membranes, and integrated with AFM probes. The
performance of the nanobit-enhanced probes is compared to
conventional pyramidal AFM tips, and finally the limitations
and perspectives of our approach are discussed.

2. Design and fabrication


The nanobit consists of an (a) end-effector, (b) handle,
(c) connector and (d) break point as shown in the schematic,
see figure 1(a). The break point is a narrow region connecting
the free-standing structure to the substrate, defining the release
point upon mechanical stress, i.e. when the gripper detaches the
nanobit. The handle allows for a microgripper to controllably
approach, detach and move the nanobit, without damaging
the tip. In the present work, the connector part is shaped to
roughly fit the pyramidal shape of a standard silicon AFM
probe for easy integration, but the connector could as well be
adapted to any other shape. Figure 1(a) illustrates a section
of a nanobit library with different tip morphologies. After the
manipulation process, the structure could either appear as in
figure 1(b), where the nanobit is glued onto a pyramidal tip,
or as in figure 1(c), where the nanobit has been inserted into a
narrow slit in a tipless cantilever.
Both nitride and silicon dioxide nanobits were fabricated
using e-beam lithography and standard silicon microfabrication. The processing sequence of nitride nanobits is as follows:
(a) 150 nm silicon nitride layer (device layer) is deposited
on an Si wafer by low pressure chemical vapour deposition
(LPCVD). (b) The nanobits themselves are defined by a JEOL

3. Results
Electrothermal topology optimized microgrippers [20, 22]
were used for assembly of the nanobits. The microgripper,
the tip library and the target AFM probe were positioned
using a nanomanipulation set-up inside a scanning electron
microscope (SEM), as described in [22]. Both the nanobit
array and AFM probe were mounted on a nanopositioning
stage which has a precision of 1.5 nm. Figure 4 shows
a typical integration sequence (a movie of this is available
at stacks.iop.org/Nano/20/395703).
The microgripper is
approached to the nanobit array and closed around the nanobit
handle. The nanobit is then detached (figure 4(a)), aligned
to the pyramidal AFM probe (figure 4(b)), positioned on
the connector part of the nanobit and glued by EBiD of
carbonaceous material [15] (figure 4(c)). Finally, the gripper
is retracted (figure 4(d)). The second approach illustrated in
figure 1(c) was carried out in a similar manner. Here we used
2

Nanotechnology 20 (2009) 395703

R T Rajendra Kumar et al

Figure 2. (a) A library of free-standing nitride nanobits with different tip morphologies. (b) Silicon dioxide nanobit. (c) Silicon nitride
nanobit. The scale bars are 2 m.

Figure 3. (a) SEM image of a silicon nitride nanobit showing the length and width of the end-effector. The inset shows a closer view of tip.
(b) Aspect ratio (length to base width) as a function of the inverse of the base width ( Wb ) for silicon nitride (circles) and silicon oxide nanobits
(triangles).

were I = 50 Hz and P = 0.002 for the nanobit probe and


P = 0.003 for the pyramidal probe. While using the standard
tip the AFM scan was performed at 1 Hz. A line rate of
0.5 Hz was used for scanning with the nanobit-enhanced probe
in order to reduce the impact of the tipsample interaction
and to avoid loss of the connection between nanobit and tip.
While the pyramidal AFM tip reaches only about 400 nm
into the trenches, the depth of the nanobit-enhanced AFM
scan is 1.6 m. The profile of the nanobit-enhanced scan
approximately matches the profile of the nanobit end-effector.

tipless cantilevers equipped with a roughly 150 nm wide slit,


made by focused ion beam (FIB) milling using an FEI Quanta
200 3D Dual Beam FIB workstation.
Figures 4(e) and (f) shows integration of a nitride nanobit
with an Si cantilever, which turned out to be remarkably
easy; the insertion was typically successful at the first attempt.
Figures 4(g) and (h) shows integration of a kinked, doubletipped nanobit designed to characterize the roughness of the
backside of an overhanging structure. The tip is an example of
how the planar shape definition can lead to tip morphologies,
which allows access to otherwise inaccessible regions of a
micro- or nanostructure.
For testing the nanobits, a surface with RIE-etched 2.5 m
deep, 600 nm wide trenches was used, see figure 5(a). The
image in figure 5(b) shows a nitride-bit-enhanced AFM probe
after five consecutive scans of the structure. Figures 5(c)
and (d) show 5 m 5 m AFM topography images of the
trenches, as recorded by a nanobit probe and a pyramidal
probe, respectively.
The resolution of these images is
512 pixels 512 pixels. For both scans, the AFM was
operated in intermittent mode using driving frequencies near
the cantilevers resonances of approximately 335 kHz. The
amplitude set point for the height control loop was chosen as
2/3 of the free oscillating amplitude and the gain parameters

4. Discussion
We here showed fabrication, characterization, manipulation
and testing of planar, freeform, flake-like scanning probe tips
with high-aspect ratios. Although one may envision other
types of end-effectors such as brushes, forks, double tips,
resonators and near-field microscopy tips with special tips, it
is an important limitation that the nanobits can only be planar.
While a double tip may be very convenient for measuring
sidewall roughness in a trench, mapping the contours of a
three-dimensional object such as a tall post is better done with
a flared tip.
Several factors limit the aspect ratio and sharpness of
the nanobits. The ultimate lithographic resolution with the
3

Nanotechnology 20 (2009) 395703

R T Rajendra Kumar et al

Figure 4. Integration of a nitride nanobit with an AFM probe using a topology optimized microgripper. (a) Gripper detaching a nitride
nanobit. (b) Gripper holding a nanobit while approaching an AFM probe. (c) The nitride nanobit is placed on AFM probe tip and (d) glued to
the AFM tip using EBiD. (e)(f) A double-tipped nanobit is aligned to an FIB-milled slit in a tipless cantilever. (g)(h) A kinked, double-tip
nanobit is positioned on a pyramidal tip and glued, in this case with a skewed orientation.

parameters used and the equipment appears to be about 10 nm,


and even with a highly optimized RIE recipe, further softening
of the features is inevitable. There are, however, several reports
of methods for sharpening of silicon nitride [23] as well as
silicon tips [24, 25]. Silicon tips can, for instance, be sharpened
by wet oxidation followed by a hydrofluoric etch, which could

be done on the wafer of tip libraries (see figure 2), provided the
surface tension forces do not pull off the nanobits.
The experiments showed that standard AFM scanning
methods can easily be applied using the nanobit-enhanced
probes. Using other end-effector shapes more sophisticated
experiments such as scanning of sidewall structures or even
4

Nanotechnology 20 (2009) 395703

R T Rajendra Kumar et al

Figure 5. (a) 2.5 m deep ridges etched in silicon. (b) The nanobit tip mounted at the end of the AFM probe. The image is taken after five
consecutive AFM scans. (c) AFM scan recorded with nanobit probe. (d) AFM scan recorded with pyramidal Si tip. The scan area is
5 m 5 m.

the scan speed and resolution that can be achieved. Since the
spring constant of a rectangular cantilever beam of uniform
thickness is proportional to height/length3, a long and narrow
tip may cling to the sidewalls as van der Waals, capillary and
other contact forces become relatively large compared to the
mechanical restoration force [26]. The magnitude of these
contact forces will also depend strongly on the effective contact
area between the tip apex and the sidewall.
In [20] direct manipulation of CNTs using electrothermal
microgrippers led to notable damage as observed in a
transmission electron microscope (TEM). The nanobits are
designed in such a manner that the gripper is interacting only
with a handle part, so that direct gripper interaction with
the end-effector is entirely eliminated. Since the handle can
be made microscale, the aligning, detachment and mounting
process is much easier than for CNTs, paving the way for
automated or semi-automated robotic manipulation. The large

non-planar AFM scanning can be realized. Therefore, novel


and complex control systems have to be developed. Another
issue is the mechanical and material properties of nanobits that
can have aspect ratios of 25 or more. While SiO2 has a Youngs
modulus of 80 GPa, single-crystalline silicon and silicon
nitride have 130180 GPa (depending on orientation) and 210
300 GPa (depending on fabrication method), respectively. The
rigidity of silicon nitride is thus smaller than, for instance,
silicon carbide (450 GPa) and tungsten (450600 GPa), so
defining the nanobits in such materials should further improve
the rigidity of the tips. Both silicon and silicon nitride have
a high mechanical strength, 7 GPa and 14 GPa (depending
on fabrication method), respectively, meaning that high-aspect
ratio tips from these brittle materials may sustain significant
bending and thus prevent the tips from breaking in many
practical situations. Even if the tips do not break, the
rigidity given by the material and the design will influence
5

Nanotechnology 20 (2009) 395703

R T Rajendra Kumar et al

handle should also make it straightforward to complete the


pick-and-place process with commercial grippers [27, 28].
Furthermore, we demonstrated insertion of a nanobit
into narrow slits. The slits were defined by a few minutes
of FIB milling in a standard, tipless AFM cantilever.
This approach has some interesting perspectives, since this
approach allows connector slits to be defined in a vast range
of other probes, including micro-four-point probes [29] and
microgrippers [15, 20, 30], greatly enhancing the possibilities
of customizing the end-effector. One route to simplify
the manipulation process is to arrange the library and the
tipless cantilever so that the cantilever itself can pick up the
nanobit, thereby eliminating the intermediate manipulation
with a gripper. Finally, the slit approach allows the tip to be
mechanically fixed without glue, making in situ replacement
possible. Such extended use of the nanobit technique remains
to be proven. Current efforts aim at automating the nanobit
integration which is made feasible by the fact that the nanobit
array is fabricated in highly ordered fashion with welldefined positions and dimensions. Therefore, special control
architecture and visual SEM algorithms are being developed
that will allow the full automation of the required nanohandling
tasks [21].

[6] Wendel M, Lorenz H and Kotthaus J P 1995 Appl. Phys. Lett.


67 37324
[7] Dai H, Hafner J H, Rinzler A G, Colbert D T and Smalley R E
1996 Nature 384 14751
[8] Stevens R, Nguyen C, Cassell A, Delzeit L, Meyyappan M and
Han J 2000 Appl. Phys. Lett. 77 34535
[9] Stevens R, Nguyen C, Cassel A, Delzeit L, Meyyappan M and
Han J 2000 Appl. Phys. Lett. 77 34535
[10] Nakayama Y, Nishijima H, Akita S, Hohmura K I,
Yoshimura S H and Takeyasu K 2000 J. Vac. Sci. Technol. B
18 6614
[11] Yamamoto K, Akita S and Nakayama Y 1996 Japan. J. Appl.
Phys. 2 35 L9178
[12] Wei H Y, Kim S N, Zhao M H, Ju S Y, Huey B D, Marcus H L
and Papadimitrakopoulos F 2008 Chem. Mater.
20 2793801
[13] Hafner J H, Cheung C L and Lieber C M 1999 Nature
398 7612
[14] Hafner J H, Cheung C L and Lieber C M 1999 J. Am. Chem.
Soc. 121 97501
[15] Carlson K, Andersen K N, Eichhorn V, Petersen D H,
Molhave K, Bu I Y Y, Teo K B K, Milne W I, Fatikow S and
Boggild P 2007 Nanotechnology 18 345501
[16] Ye Q, Cassell A M, Liu H B, Chao K J, Han J and
Meyyappan M 2004 Nano Lett. 4 13018
[17] Yenilmez E, Wang Q, Chen R J, Wang D and Dai H 2002 Appl.
Phys. Lett. 80 22257
[18] Murayama K, Gonda S, Koyanagi H, Terasawa T and
Hosaka S 2006 Japan. J. Appl. Phys. 1 45 592832
[19] www.veeco.com
[20] Sardan O, Eichhorn V, Petersen D H, Fatikow S,
Sigmund O and Boggild P 2008 Nanotechnology 19 495503
[21] Eichhorn V et al 2009 ICRA: Int. Conf. on Robotics and
Automation (Kobe)
[22] Sardan O, Petersen D H, Molhave K, Sigmund O and
Boggild P 2008 Microel. Eng. 85 10969
[23] Kitazawa M, Shiotani K and Toda A 2003 Japan. J. Appl. Phys.
1 42 48447
[24] Liu C and Gamble R 1998 Sensors Actuators A 71 2337
[25] Zhang Y X, Zhang Y W, Sriram T S and Marcus R B 1996
Appl. Phys. Lett. 69 42601
[26] Meyer E 1992 Prog. Surf. Sci. 41 349
[27] www.zyvex.com
[28] www.femtotools.com
[29] Petersen C L et al 2002 Sensors Actuators A 96 538
[30] Andersen K N, Petersen D H, Carlson K, Molhave K,
Sardan O, Horsewell A, Eichhorn V, Fatikow S and
Boggild P 2009 IEEE Trans. Nanotechnol. 8 7685

Acknowledgments
Discussions with Dirch Hjort Petersen are acknowledged. This
research was supported by the EU grant NANOHAND (IP
034274)

References
[1] Rasmussen P A, Thaysen J, Bouwstra S and Boisen A 2001
Sensors Actuators A 92 96101
[2] Brugger J, Buser R A and de Rooij N F 1992 Sensors Actuators
A 34 193200
[3] Wang Y Q and van der Weide D W 2005 J. Vac. Sci. Technol. B
23 15824
[4] www.nanosensors.com
[5] Antognozzi M, Sentimenti A and Valdre U 1997 Microsc.
Microanal. Microstruct. 8 35568

Potrebbero piacerti anche