Sei sulla pagina 1di 7

Universidad Nacional Mayor De San Marcos.

Solis Chvez, Cruz


Ccocha. Filtros digitales con PIC
Carlos Andrs Solis Chvez 1 y Kevin Andr Cruz Ccocha 2
Facultad de Ingeniera Electrnica y Elctrica, Universidad Nacional Mayor De San Marcos

FILTROS DIGITALES CON PICS

ResumenLa finalidad del presente proyecto, ser la


implementacin de un filtro digital pasa bajo utilizando un
microcontrolador PIC, en el cual primero se realizara la
conversin analgica a digital de la seal de entrada y
posteriormente se usara la funcin de transferencia de un
filtro digital pasa bajo en su forma de ecuaciones en
diferencias, ya que el filtro a utilizar ser un filtro FIR
(respuesta finita al impulso), para procesar la seal.
Nos ayudaremos de la herramienta de MATLAB fdatool
para poder ver el diseo de nuestro filtro. Tambin se
realizara la simulacin del procesado de una seal
utilizando el filtro diseado.
Palabras claves Filtro digital, microcontrolador, PIC,
FIR, ecuaciones en diferencias.
Abstract The purpose of this project is to implement a
digital low pass filter using a PIC microcontroller, which
first perform analog to digital conversion of the input
signal and then the transfer function of a digital filter was
used low pass in form of difference equations, since the
filter to be used is an FIR (finite impulse response) filter to
process the signal. Simulating the processing of a signal
using the filter designed is also performed.
Key words Digital filter, microcontroller, PIC, FIR,
difference equations.
I.

INTRODUCCIN

L
a teora del filtrado de seales abarca una amplia variedad de
aplicaciones donde resulta de inters cambiar las amplitudes
relativas de las componentes de frecuencia en una seal, o
quizs eliminar por completo algunas componentes de
frecuencia, proceso conocido como filtrado. Los sistemas
diseados para dejar pasar algunas frecuencias y atenuar de
manera significativa o eliminar por completo otras se conocen
como filtros selectivos en frecuencia. Hay que tener en cuenta
muchas caractersticas de estos filtros selectivos en frecuencia:
Qu tan efectivo es el filtro al dejar pasar las frecuencias en
la banda pasante? Qu tan efectivo es al atenuar frecuencias
en la banda de supresin? Qu tan rpida es la transicin
1
Carlos Andrs Solis Chvez (andres_piscis95@hotmail.com)2Kevin Andr
Cruz Ccocha (sagitario_13_u@hotmail.com )

cerca de la frecuencia de corte?, etc. Estas caractersticas


involucran una comparacin de las caractersticas de un filtro
real con un la de un filtro de comportamiento idealizado. En la
caso de filtros FIR que se analizaran ms en detalle en el
presente proyecto, disear el filtro para que se aproxime al
filtro ideal no es una tarea sencilla y depende de muchos
aspectos: el orden del filtro (el retardo mximo usado por la
ecuacin en diferencias se llama orden del filtro[5]), las
ventanas que se encargan de mejorar y suavizar la respuesta
espectral de los filtros FIR, la capacidad del procesador de
seales a utilizar que en nuestro caso ser un microcontrolador
PIC, etc.
Un aspecto muy importante a tener en cuenta es la respuesta
de fase de este sistema a implementar, es decir para que
nuestro filtro se asemeje al ideal debera tener fase lineal, si
nuestro filtro no es lineal diremos que el sistema produce
distorsin de fase, la linealidad de fase es importante en
comunicaciones, audio y otras aplicaciones en las que las
relaciones temporales entre las diferentes frecuencias son
crticas. Con un filtro FIR se puede obtener ms fcilmente
una caracterstica de fase lineal. [1]

II. MARCO TERICO


El diseo de los filtros FIR, es relativamente simple y utiliza
estructuras ya definidas para cada tipo de filtro. Los filtros
pueden ser de cinco naturalezas: Pasa bajas, pasa altas, pasa
bandas, rechaza banda, y multibanda. Para el tratamiento de
los filtros se debe tener presente las siguientes
consideraciones:
Los clculos de los filtros se hacen en radianes y no en
hercios.
La frecuencia de muestreo en hercios Fs, es equivalente a una
frecuencia en radianes/segundo de 2.
La mxima frecuencia tratada por los filtros es Fs/2, o
Radianes.
La relacin que existe entre radianes y hercios es:
= 2F, y F = /2.
Las frecuencias de corte de los filtros se denotan como c, y
Fc.
La frecuencia de corte se calcula como c = 2 Fc / Fs.
La banda de transicin del filtro se denota como dW en
radianes/segundo y dF en hercios.
El orden de los sistemas FIR se denota como M.
Se recomienda usar un nmero impar para M.
Los filtros pasa bajas se caracterizan por tener una frecuencia
de corte a partir de la cual las frecuencias inferiores son
permitidas, y las frecuencias superiores son atenuadas. La
funcin de transferencia h(n), para este filtro es:

Universidad Nacional Mayor De San Marcos. Solis Chvez, Cruz Ccocha. Filtros digitales con PIC

sen (c n)
, n 0
n
h ( n )=
c
, n=0

(1)
Ventanas:
Las ventanas se aplican a las funciones de transferencia h(n),
el objetivo de las ventanas es mejorar y suavizar la respuesta
espectral de los filtros FIR. Las ventanas de mayor uso son las
siguientes:
Rectangular
Hamming
Hanning
Blackman
El filtro pasabajo mostrado anteriormente es un filtro FIR con
ventana rectangular. Estos filtros tienen la menor transicin en
la frecuencia de corte, lo que los hace ms cercanos a los
filtros ideales, sin embargo est propiedad produce en los
filtros sobresaltos y oscilaciones en el espectro. Este efecto es
conocido como: fenmeno Gibbs. Este efecto puede apreciarse
en la siguiente grfica:

Fig.2: Ventana rectangular


La respuesta espectral de la ventana Rectangular en escala
logartmica es la siguiente:

Fig.3: Respuesta en frecuencia de la ventana rectangular


La ventana Rectangular ofrece una atenuacin del fenmeno
Gibbs de -13dB.
[2]
III. PROCEDIMIENTO
Realizaremos el diseo de un filtro digital FIR,
implementando un microcontrolador PIC18f452[7], utilizando
lenguaje C y realizando la programacin respectiva en el
programa MikroC PRO.
Implementaremos el siguiente circuito:

Fig.1: Fenmeno de Gibbs


La ventana Rectangular ofrece una banda de transicin igual a
la siguiente relacin: dW = 1,8/M
Una ventana rectangular ofrece un patrn lineal, y constante.
Se debe recordar que al realizar el clculo de un filtro FIR, por
defecto ya se encuentra con una ventana de este tipo.
La aplicacin de las ventanas involucra la creacin de una
nueva funcin de factores w(n), que posteriormente debe ser
multiplicada trmino a trmino con la funcin de transferencia
h(n), para crear la funcin h(n) definitiva.
Una ventana Rectangular se representa por medio de la
siguiente funcin w(n):

Fig.4: Circuito a implementar


Procedimiento para implementar el filtro:
1. Determinar la frecuencia mxima, por ejemplo,
F Hz de la seal a filtrar.
2. Elija una frecuencia de muestreo (Fs. 2F Hz) .
3. Decidir sobre las caractersticas de los filtros
necesarios.
4. Introduzca las caractersticas del filtro
utilizando los coeficientes.
6. Aadir los coeficientes el archivo de inclusin.
7. Construir y generar el cdigo HEX.
8. Transferir el programa al microcontrolador
PIC18.
9. Ejecute el programa y comprobar el filtro con
sus caractersticas.

Universidad Nacional Mayor De San Marcos. Solis Chvez, Cruz Ccocha. Filtros digitales con PIC

[8]
Para la reconstruccin de la seal procesada que en el circuito
es una seal senoidal, se configuran 10 bits de salida, para
hacer un convertidor DA, por medio de un arreglo R-2R.
Se usar la interrupcin por TIMER 0, para crear el periodo de
muestreo, y por defecto la frecuencia de muestreo.
El siguiente cdigo fuente muestra un ejemplo del muestreo de
la seal por medio del TIMER 0:
//Declaracin de varales.
float x0, y0;
unsigned int YY;
//Declaracin de la funcin de interrupciones.
void interrupt ( void )
{
if( INTCON.F2 )
{
TMR0L=135;
//Timer0 con periodo de 774,4u segundo.
// Fs = 1291,32 kHz.
//Adquisicin de una muestra de 10 bits en, x[0].
x0 = (float)(ADC_Read(0)-512.0);
//
//Espacio para procesar la seal.
//
//Reconstruccin de la seal: y en 10 bits.
YY = (unsigned int)(x0+512);
PORTC = (YY>>8)&3;
PORTB = YY&255;
INTCON.F2=0;
}
}
void main( void )
{
//Inicio del puerto B como salida.
TRISB = 0;
PORTB = 0;
TRISC = 0;
PORTC = 0;
//Se configura el TIMER 0, su interrupcin.
INTCON = 0b10100000;
T0CON = 0b11000101;
while(1)//Bucle infinito.
{
}
}
Ahora diseamos nuestro filtro digital pasa bajos FIR, con:
Fs=1291.32 kHz.
Fc=150 hz.
Utilizaremos una ventana rectangular.
Se determina la frecuencia de corte digital:
c = 2 Fc / Fs = 2 150 / 1291,32 = 0,72985
Utilizando la funcin de transferencia de nuestro filtro digital
pasa bajo de la ecuacin (1), encontramos los coeficientes del
filtro, recordemos que nuestro filtro es de orden 17.

h(-8)=-0.0171035387965417
h(-7)=-0.0419431579233366
h(-6)=-0.0501329294124475
h(-5)=-0.0309497847516785
h(-4)=0.0175345019583181
h(-3)=0.0864308262744764
h(-2)=0.158173992108178
h(-1)=0.212237065988464
h(0)=0.232320416318186
h(1)=0.212237065988464
h(2)=0.158173992108178
h(3)=0.0864308262744764
h(4)=0.0175345019583181
h(5)=-0.0309497847516785
h(6)=-0.0501329294124475
h(7)=-0.0419431579233366
h(8)=-0.0171035387965417

Se debe tener presente que para fines de programacin los


valores de n no pueden ser negativos, por esta razn se debe
iniciar el vector en 0, y para usarlo en el cdigo en lenguaje C,
se implementa de la siguiente manera:
const float h[]=
{
-0.0171035387965417, //h(0)
-0.0419431579233366, //h(1)
-0.0501329294124475, //h(2)
-0.0309497847516785, //h(3)
0.0175345019583181, //h(4)
0.0864308262744764, //h(5)
0.158173992108178, //h(6)
0.212237065988464, //h(7)
0.232320416318186, //h(8)
0.212237065988464, //h(9)
0.158173992108178, //h(10)
0.0864308262744764, //h(11)
0.0175345019583181, //h(12)
-0.0309497847516785, //h(13)
-0.0501329294124475, //h(14)
-0.0419431579233366, //h(15)
-0.0171035387965417 //h(16)
};
El programa definitivo con la funcin de transferencia h(n),
ser de la siguiente forma:
#define M 17
//Funcin de trasferencia h[n]
const float h[]=
{
-0.0171035387965417, //h(0)
-0.0419431579233366, //h(1)
-0.0501329294124475, //h(2)
-0.0309497847516785, //h(3)

Universidad Nacional Mayor De San Marcos. Solis Chvez, Cruz Ccocha. Filtros digitales con PIC
0.0175345019583181, //h(4)
0.0864308262744764, //h(5)
0.158173992108178, //h(6)
0.212237065988464, //h(7)
0.232320416318186, //h(8)
0.212237065988464, //h(9)
0.158173992108178, //h(10)
0.0864308262744764, //h(11)
0.0175345019583181, //h(12)
-0.0309497847516785, //h(13)
-0.0501329294124475, //h(14)
-0.0419431579233366, //h(15)
-0.0171035387965417 //h(16)
};
//Declaracin de varales.
float x0, y0;
float x[M];
unsigned int YY;
unsigned short i;
//Declaracin de la funcin de interrupciones.
void interrupt ( void )
{
if( INTCON.F2 )
{
TMR0L=135;
PORTC.F7=1;
//Timer0 con periodo de 774,4u segundo.
// Fs = 1291,32 Hz.
//Corrimiento continuo de la seal x[n]
for( i=M-1; i!=0; i-- )x[i]=x[i-1];
//Adquisicin de una muestra de 10 bits en, x[0].
x[0] = (float)(ADC_Read(0)-512.0);
//Convolucin contina.
y0 = 0.0; for( i=0; i<M; i++ ) y0 += h[i]*x[i];
//Reconstruccin de la seal: y en 10 bits.
YY = (unsigned int)(y0+512.0);
PORTC = (YY>>8)&3;
PORTB = YY&255;
PORTC.F7=0;
INTCON.F2=0;
}
}
void main( void )
{
//Inicio del puerto B como salida.
TRISB = 0;
PORTB = 0;
TRISC = 0;
PORTC = 0;
//Se configura el TIMER 0, su interrupcin.
INTCON = 0b10100000;
T0CON = 0b11000101;
while(1)//Bucle infinito.
{
}
}

Por limitaciones de velocidad en este microcontrolador, y


teniendo presente la frecuencia de muestreo de 1291,32Hz no
es posible usar un orden del filtro superior a 17. Sin embargo
es posible usar rdenes menores como; 15, 13, 11, 9, 7, 5, o 3.
[2]
IV. DISEO DEL FILTRO EN MATLAB
Usando la herramienta de MATLAB fdatool podremos
analizar las diferentes caractersticas de nuestro filtro
diseado.
Colocamos en la ventana de comandos de MATLAB: fdatool,
y se abrir la ventana del programa.
Introducimos los coeficientes antes hallados de nuestro filtro
FIR, tambin definimos nuestra frecuencia de muestreo de
1291.32kHZ.
Ahora ya podemos ver las distintas caractersticas de nuestro
filtro diseado como la magnitud de la respuesta en
frecuencia, la fase de la respuesta en frecuencia, el retardo de
grupo, retardo de fase, respuesta al impulso, respuesta al esca
ln, diagrama de polos y ceros y la informacin del filtro.
Veamos:
A.MAGNITUD DE LA RESPUESTA EN FRECUENCIA

Vemos que a la frecuencia de corte de nuestro filtro pasa bajos


que es de 150 HZ hay una atenuacin de aproximadamente
-6.5dB. Este filtro presenta una atenuacin de
en la banda
de transicin.

B.FASE DE LA RESPUESTA EN FRECUENCIA

Universidad Nacional Mayor De San Marcos. Solis Chvez, Cruz Ccocha. Filtros digitales con PIC

El filtro presenta una caracterstica de fase lineal en la banda


pasante, es muy importante que tenga esta caracterstica para
que no exista una distorsin a la salida. Con este tipo de filtros
FIR se puede aproximar a una respuesta de fase lineal, en
contraste de lo que sucede con los filtros IIR y tambin los
filtro analgicos.
C.DIAGRAMA DE POLOS Y CEROS

La frecuencia del cristal es tericamente de 10.5MHz pero en


los datos experimentales es de 11.2Mhz .Eso afecta por lo
siguiente:
Tm=(256-K)*PS*4/F.O
Tm=periodo de muestreo
K: Timer del pic, donde comienza a contar a partir del hasta
PS=pre escalamiento, en nuestro caso es 64
F.o=Con pll interno seria de 4*10.5Mhz=42Mhz
La frecuencia de corte usada para hallar los coeficientes y la
frecuencia de muestreo es de 150HZ
Con estos valores nos sale una frecuencia de corte de 42HZ en
donde la atenuacin es de -3dB.

Los filtros FIR son estables (la energa de su respuesta


impulsional esta acotada), por lo que los polos de estos filtros
FIR causales deben estar siempre en el interior del circulo
unidad. Su funcin de transferencia en principio solo tendr
trminos en el numerador. De ah que los filtros FIR tambin
sean conocidos como filtros todo ceros. Sin embargo este
nombre puede inducir a confusiones, por lo que no es muy
usado. La ubicacin de todos los polos en el origen es
caracterstica de los filtros FIR. Adems un filtro FIR presenta
siempre igual nmero de polos que de ceros, aunque esta
caracterstica no es exclusiva de este tipo de filtros. [3]
Como podemos ver nuestro filtro presente 16 polos en el
origen, 16 ceros. Tiene todos los polos dentro de la
circunferencia unidad por lo que el filtro es estable.
D. INFORMACIN DEL FILTRO

Luego de realizar varias modificaciones, sin resultado


aparente, nos dimos cuenta que la frecuencia de oscilacin del
cristal era un punto muy importante a tener en cuenta, es por
eso que cambiamos de cristal a un valor mas exacto de
10Mhz. Ahora con este cambio la frecuencia de muestreo,
resulto ser de 150 HZ como lo esperado en la simulacin en
Matlab. Veamos nuestros datos experimentales:
La seal de entrada ser una onda senoidal, la cual variaremos
la frecuencia para poder observar a la salida su atenuacin.
Frecuencia
De corte
Pico
mximo
banda
pasante(max
rizado)
1er lbulo
lateral
2do lbulo
lateral
3er lbulo
lateral
4to lbulo
lateral

F(Hz)
150

Vpp-in
1.4v

Vpp-out
980mv

Atenuacin
-3.09dB

100

1.4v

1.5v

+0.6dB

240

1.4v

380mv

-10.8dB

300

1.4v

340mv

-12.29dB

370

1.4v

320mv

-12.81 dB

450

1.4v

300mv

-13.6 dB

VI. CONCLUSIONES
El filtro es estable, de orden 17 y presenta una caracterstica
de fase lineal en la banda pasante.

V.

RESULTADOS EXPERIMENTALES

La frecuencia de corte experimental resulto ser de 42 Hz.


Este resultado difiere del terico y simulado en Matlab por las
siguientes razones:
La frecuencia dada por el cristal es distinta de la que est
configurado el Pic para la frecuencia de muestreo:

Los filtros digitales tienen un papel muy importante en el


procesado digital de seales, y vemos que estos se prefieren
por sobre los filtros analgicos por diversos motivos: Los
filtros digitales presentan caractersticas que son imposibles de
conseguir con filtros analgicos, como por ejemplo la
respuesta en fase lineal. El desempeo de estos filtros no vara
con las condiciones ambientales como s ocurre con los filtros
analgicos. Las caractersticas del filtro se pueden ajustar a
voluntad (filtro adaptativo), etc. [6]
Tambin estos filtros digitales pueden usarse a muy bajas
frecuencias, como las que se encuentran en aplicaciones
biomdicas, donde el empleo de filtros analgicos es poco

Universidad Nacional Mayor De San Marcos. Solis Chvez, Cruz Ccocha. Filtros digitales con PIC
prctico por los valores elevados de componentes pasivos
(bobinas y condensadores), adems estos filtros digitales
pueden trabajar sobre un amplio rango de frecuencias
cambiando la frecuencia de muestreo.
Hay que tener en cuenta tambin la frecuencia de Nyquist (que
fija el ancho de banda til) queda definida por el proceso de
conversin A/D y D/A, velocidad del procesador, cantidad de
operaciones a ejecutar por unidad de tiempo, etc. Esto ltimo
se incrementa a medida que aumenta la exigencia de las
caractersticas de respuesta del filtro (filtros muy abruptos).
En nuestro caso escogimos trabajar con un filtro digital FIR
porque es un filtro ms estable y se puede conseguir una
caracterstica de fase lineal.

Desfasaje de pi radianes a una frecuencia de 50 hz.

REFERENCIAS

[1] Alan V. Oppenheim, Allan S. Willsky y S. Hamid Nawab,


Seales y Sistemas, Pretience Hall, Hispanoamericana,
pp.236-237 ,1998
[2] Juan Ricardo Clavijo Mendoza. Chen, Diseo y
simulacion de sistemas microcontrolados en lenguaje C,
Colombia, pp. 192206 , 2011
[3] Eduard Bertran Albert, Procesado de seales:
Fundamentos para comunicaciones y control. ,vol.2,
Universidad Politcnica de Catalua, pp.308-309,2010
[4] D. Gonzalez, Student Member, IEEE, y A. M. Airabella,
Student Member, IEEE Comparacin de filtros FIR de
fase lineal, Mtodo ptimo y de Ventanas.. Universidad
Nacional de San Luis, Argentina. Disponible :
http://ewh.ieee.org/sb/argentina/comahue/ed2/trabajos/RA
TE06_05.pdf .
[5] Introduccion a la teoria del procesamiento de sistemas
digitales de audio, Disponible:
http://www.eumus.edu.uy/eme/ensenanza/electivas/dsp/pr
esentaciones/clase10.pdf .
[6] Jaime Cors Flamburry Filtro digital pasabajo con PIC
Disponible en:
https://es.scribd.com/doc/109828158/Filtro-Digital-PasaBajos-Con-PIC#download
[7] Microchip technology inc., PIC18FXX2 Data Sheet.
Disponible en:
http://ww1.microchip.com/downloads/en/DeviceDoc/395
64c.pdf .
[8] B.K.Anantha Ramu, Implementing FIR and IIR Digital
filters using PIC18 microcontrollers. Microchip
Technology Designs, India. Disponible en:
http://ww1.microchip.com/downloads/en/AppNotes/0085
2a.pdf
ANEXO

Pico mximo banda pasante (max rizado)

Frecuencia de corte

Universidad Nacional Mayor De San Marcos. Solis Chvez, Cruz Ccocha. Filtros digitales con PIC

Circuito implementado ( filtro pasabajo)

PS=pre escalamiento, en nuestro caso es 64


F.o=Con pll interno seria de 4*10.5Mhz=42Mhz
La frecuencia de corte usada para hallar los coeficientes y la
frecuencia de muestreo es de 150HZ
Con estos valores nos sale una frecuencia de corte de 42HZ en
donde la atenuacin es de -3dB.

CUESTIONES PLANTEADAS POR EL PROFESOR :


El orden del filtro FIR depende de la frecuencia de
muestreo o est relacionado?
Segn el teorema de muestreo de Nyquist, hay que muestrear
al menos al doble de la frecuencia de la mayor componente
espectral de la seal. Si no se cumple esta condicin no se
podr reconstruir la seal.
El problema surge porque nos da la frecuencia mnima a la
cual tenemos que muestrear, entonces si usamos esta
frecuencia de muestreo mnima tendramos que poner un filtro
pasabajo de pendiente de corte infinita es decir la banda de
transicin debe ser cero, y esto no se puede lograr con un filtro
real FIR de orden N.
Es por eso que cuando ms elevemos la frecuencia de
muestreo menor ser el orden del filtro que tendremos que
usar ya que el orden del filtro determina la pendiente en la
banda de rechazo.
Ya que cuando mayor es el orden, en el mtodo de las
ventanas, el ancho de esta ventana aumentara, es decir habr
mas coeficientes del filtro, por lo tanto por la propiedad de
escalamiento de la transformada de fourier si expandimos la
seal en el tiempo, en frecuencia el espectro se comprime, y
los lbulos sern ms estrechos, mejorando la atenuacin.

Luego de realizar varias modificaciones, sin resultado


aparente, nos dimos cuenta que la frecuencia de oscilacin del
cristal era un punto muy importante a tener en cuenta, es por
eso que cambiamos de cristal a un valor ms exacto de
10Mhz. Ahora con este cambio la frecuencia de muestreo,
resulto ser de 150 HZ como lo esperado en la simulacin en
Matlab.

La seal a la salida del filtro estaba distorsionada, a qu


se deba este problema?
Error de offset:
En un DAC real la seal anloga se encuentra desplazada
respecto del valor que le corresponde a uno ideal.
Este corrimiento equivale a que para una entrada digital igual
a cero se tiene un valor de la tensin de salida del conversor
(error de cero).
Este error es fcilmente compensable mediante las prcticas
habituales de correccin de offset en AO (Amplificador
Operacional)
Suceda este problema en el conversor digital anlogo porque,
el voltaje offset del generador de seales no estaba calibrado
adecuadamente, lo que hicimos fue disminuir un poco este
voltaje y la seal de salida ya no estaba tan distorsionada.

La frecuencia de corte experimental no era la hallada en


simulacin.
La frecuencia de corte experimental resulto ser de 42 Hz.
Este resultado difiere del terico y simulado en Matlab por las
siguientes razones:
La frecuencia dada por el cristal es distinta de la que est
configurado el Pic para la frecuencia de muestreo:
La frecuencia del cristal es tericamente de 10.5MHz pero en
los datos experimentales es de 11.2Mhz .Eso afecta por lo
siguiente:
Tm=(256-K)*PS*4/F.O
Tm=periodo de muestreo
K: Timer del pic, donde comienza a contar a partir del hasta

En la imagen podemos ver como corrigendo el voltaje de


offset el circuito R 2R del DAC trabaja de una manera
adecuada, entregando una seal ms limpia.
Aparte de este error en el offset del generador de seales. Para
poder obtener una seal sin distorsin, se necesita un filtro a la
salida, ya que la seal al ser muestreada variara su espectro de
frecuencia.

Potrebbero piacerti anche