Sei sulla pagina 1di 32

SISTEMAS DIGITALES SECUENCIALES

PRESENTADO:
TRABAJO PRCTICO 90178A_2888

JOSE EFRAIN CORDOBA PARDES


COD. 1075219245

TUTOR
FAIVER ROBAYO

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD


ESCUELA DE CIENCIAS BSICAS TECNOLOGA E INGENIERA
CEAD NEIVA

OBJETIVO GENERAL

Lograr reconocer las intencionalidades formativas del curso para el desarrollo de esta
actividad.

OBJETIVOS ESPECFICOS

Reconocer las unidades que se vern en el curso de sistemas digitales secuenciales


Lograr que el estudiante reconozco la estructura general del curso para manipular y
desarrollar las diferentes herramientas que nos brinda este curso.
Lograr que el estudiante conozca sus compaeros de grupo colaborativo y su tutor
Aprender la implementacin de proyectos digitales secuenciales, entender y
practicar el anlisis y los procedimientos de diseo con casos reales y prcticos

INTRODUCCIN

A continuacin daremos a ver los conocimientos adquiridos durante el periodo de


aprendizaje de la unidad nmero uno del mdulo de circuitos digitales secuenciales y los
anteriores cursos como lgica matemtica y sistemas digitales bsico, demostrando las
fallas y virtudes hacia el xito de nuestro diseo.
Para entender la implementacin de este proyecto del carro robot debemos tener claro el
concepto general de aplicacin de los Sistemas Digitales Secuenciales o mquinas de
estados finitos, donde la salida no solo depender de las entradas presentes, tambin
depender de la historia pasada, de lo que sucedi antes, por lo que necesitara elementos de
memoria que recojan esta informacin anterior del sistema.
Este trabajo pretende dar a conocer con gran facilidad cada una de las diferentes temticas a
tratar en el material de estudio, para ello se ve necesario comprender y aplicar los
modelamientos de los Sistemas Digitales Secuenciales.

MARCO TERICO
MULTIVIBRADOR: Es un circuito oscilador capaz de generar una onda cuadrada. Segn
su funcionamiento, los multivibradores se pueden dividir en dos clases:

De funcionamiento continuo, astable o de oscilacin libre: genera ondas a partir de


la propia fuente de alimentacin.

De funcionamiento impulsado: a partir de una seal de disparo o impulso sale de su


estado de reposo.

Si posee dos de dichos estados, se denomina biestable.

Si poseen uno, se le llama monoestable.

En su forma ms simple son dos simples transistores realimentados entre s. Usando redes
de resistencias y condensadores en esa realimentacin se pueden definir los periodos de
inestabilidad. Un circuito integrado multivibrador muy popular es el 555, que usa un
sofisticado diseo para lograr una gran precisin y flexibilidad con muy pocos
componentes externos.

FLIP- FLOP: Es un multivibrador capaz de permanecer en uno de dos estados posibles


durante un tiempo indefinido en ausencia de perturbaciones. 1 Esta caracterstica es
ampliamente utilizada en electrnica digital para memorizar informacin. El paso de un
estado a otro se realiza variando sus entradas.
COMPUERTAS LGICAS: Es un dispositivo electrnico el cual es la expresin fsica de
un operador booleano en la lgica de conmutacin. Cada puerta lgica consiste en una red
de dispositivos interruptores que cumple las condiciones booleanas para el operador
particular. Son esencialmente circuitos de conmutacin integrados en un chip.
PUENTE H o Puente en H: es un es un circuito electrnico que permite a un motor
elctrico DC girar en ambos sentidos, avance y retroceso.
Cuando los interruptores S1 y S4 estn cerrados y S2 y S3 abiertos, se aplica una tensin
positiva en el motor, hacindolo girar en un sentido. Abriendo los interruptores S1 y S4 y
cerrando S2 y S3, el voltaje se invierte, permitiendo el giro en sentido inverso del motor.

Fase 1: Descripcin del Problema.


Una vez estudiados los contenidos de la unidad I, usando una circuitera combinacional y
circuitos secuenciales, se debe disear un pequeo vehculo impulsado por dos motores
DC, uno en cada rueda trasera. El carro contar con dos sensores en la parte frontal que
servirn para detectar el impacto del carro con un obstculo. El diseo debe ser tal que el
5

vehculo inicie su marcha en reversa durante 3 segundos y luego seguir su marcha normal
hacia adelante; una vez ste impacte debe recordar que el choque activa uno de los sensores
con el fin que el vehculo retroceda girando en un sentido diferente. No importa cul de los
dos sensores se active o haga el impacto siempre debe cambiar de giro, no importa que
impacte dos veces con el mismo microswitche. Una vez que uno de los sensores haya
detectado el impacto del carro, ste deber retroceder por un tiempo de tres (3) segundos y
reiniciar su marcha hacia adelante, este tiempo debe ser controlado usando un temporizador
555 en modo monoestable.

LISTA DE MATERIALES

7404 COMPUERTA NOT


7408 COMPUERTAS AND
74LS32 COMPUERTA OR
L293D PUENTE H
74LS76 FLIP FLOP TIPO JK
555 INTEGRADO
MOTORES 12 Vdc
MICRO SWITCH
10F CONDENSADORES
150F CONDENSADORES
100F CONDENSADORES
18.2K RESISTENCIAS
10k RESISTENCIAS
PROTOBOAR
6

CARGADOR 5V 1AMP
ESTRUCTURA CARRO

DIAGRAMA

Primero se hacen los clculos para encontrar el tiempo empleado para cada multivibrador
utilizando las formulas estudiadas en el mdulo.
CIRCUITO MONOESTABLE:
La salida del circuito es inicialmente cero, el transistor est saturado y no permite la carga
del condensador C1. Pero al pulsar SW1 se aplica una tensin baja en el terminal de disparo
TRIGGER, que hace que el biestable RS cambie y en la salida aparezca un nivel alto. El
transistor deja de conducir y permite que el condensador C1 se cargue a travs de la

resistencia R1. Cuando la tensin en el condensador supera los 2/3 de la tensin de


alimentacin, el biestable cambia de estado y la salida vuelve a nivel cero.
Asumiendo a C=100uF, podemos calcular el valor de la resistencia de Carga Rc, para un
tiempo de 2 Segundos.
T = 1.1* Rc*C
Despejando Rc.
Rc = T/(1.1*C)
Rc = 2/(1.1*100uF)

Rc=18181 Ohm
Rc=18,2 KOhm
Asumiendo a C=150uF, podemos calcular el valor de la resistencia de Carga Rc, para un
tiempo de 3 Segundos.
T = 1.1* Rc*C
Despejando Rc.
Rc = T/(1.1*C)
Rc = 3/(1.1*150uF)
Rc=18181 Ohm
Rc=18,2 KOhm

Segundo configuraremos el Flip flop en togget y lo conectamos en el multivibrador de


3seg, as evitaremos que haga el cambio si por alguna razn los pulsos se activan en un
choque antes de que empiece a marchar hacia adelante.
TABLA DE VERDAD FUNCIONES LGICAS

10

Siguiente tenemos que hacer la parte lgica del circuito para que tengamos el control de la
direccin de los motores. Utilizando el lgebra booleana, tenemos que; Las entradas son
SW Der, SW Izq, Suma SW Y Out 555 y las salidas para cada motor, sern Motor1 y
Motor2, obteniendo as la tabla de verdad.
MAPAS DE KARNOUGH
Motor 1: (A+|D)(C)

Motor 2: (|A+|D)(C)

Por ltimo se conecta cada salida a un puente H la cual por lo general se hace con
transistores o con un circuito integrado, el puente H necesita dos entradas lgicas para cada
motor, as que conectamos cada salida de las compuertas OR compuertas NOT para cada
entrada del puente H del conjunto de motores.

Funcionamiento:
11

Cuando se produzca el disparo la salida saltar a 1 lgico cambiando la salida, de modo que
el estado regresa en forma automtica a su valor predefinido despus de cierto tiempo de
carga del condensador T calculado por la red de carga RC como:
T = 1.1* Rc*C
Este ser el tiempo estimado para que el condensador llegue al nivel de Threshold. Una
vez superado este nivel el comparador superior pondr la salida en 0 lgico, descargando
casi instantneamente el condensador.

CIRCUITO COMBINACIONAL CON VHDL

12

library ieee;
use ieee.std_logic_1164.all;
entity robot is port(
entradas: in bit_vector(2 downto 0);
salidas: out bit_vector(1 downto 0));
end entity;

architecture trabajo1 of robot is


begin
p: process (entradas)
begin

case entradas is
when "000" => salidas <= "00";
when "001" => salidas <= "01";
when "010" => salidas <= "10";
when "011" => salidas <= "11";
when "100" => salidas <= "00";
13

when "101" => salidas <= "10";


when "110" => salidas <= "01";
when "111" => salidas <= "11";
end case;
end process;
end;

MONTAJE DEL CIRCUITO

14

ANALISIS DE RESULTADOS
Para la comprensin de la idea principal del proyecto se toma la iniciativa de que el auto
tiene que moverse hacia adelante y despus de un choque dar reversa y girar hacia la
izquierda y despus volver a iniciar su proceso de marcha y despus de otro choque girara
hacia la derecha, aqu tenemos que tener en cuenta de que el carro debe reconocer en qu
estado estuvo primero, as que necesita almacenar un bit de memoria, por lo tanto se
necesita solo un flip-flop para almacenar tal informacin bsica, por medio de los dos
multivibradores se toma el tiempo de reversa y de giro de los motores y por medio de
compuertas lgicas y con ayuda de l algebra booleana se hace una tabla de verdad dando a
conocer lo que queremos que el sistema haga.
Simplificamos tal informacin para encontrar el circuito lgico que controle la direccin de
nuestros motores y final mente se instala un puente H para la polarizacin de cada uno de
los motores, de hecho aqu se trabaja todo lo que tiene que ver con la unida 1 del mdulo de
sistemas digitales secuenciales con utilidades ya vista en el mdulo de sistemas digitales
bsicos.

15

CONCLUSIONES

Resulta muy til un sistema que almacene 1 bit de memoria como lo son los flipflops

La utilizacin del puente H permite cambiar la polaridad de los motores a partir de


una fuente sencilla, y de esta manera obtener el movimiento hacia adelanta y hacia
atrs del carro

Por medio de algebra booleana se puede simplificar circuitos lgicos

Los multivibradores dependen de la Rc y de la C para determinar el tiempo de


duracin del pulso

Se pueden hacer diferentes tipos de circuitos que reconozcan el ultimo estado y


general la salida lgica que necesitamos

En el desarrollo de este proyecto debemos tener en cuenta de que la fuente al ser


conectada preste la suficiente corriente necesitada por el circuito ya que los motores
por su consumo de potencia hace de que el circuito se encuentre en un estado de
bajo subministro de voltaje e intensidad haciendo que el circuito no trabaje
adecuadamente o tenga resultados inesperados.

16

BIBLIOGRAFA

Agenda del curso de sistemas digitales secuenciales, recuperado el 20 febrero


http://datateca.unad.edu.co/contenidos/agendas/2015-10/90178.htm

Contenido del curso- Unidades del curso- sistemas digitales secuenciales,


recuperado
el
20
febrero
http://campus13.unad.edu.co/campus13_20151/course/view.php?id=123#

Gua

Integradora

de

Actividades,

Tomado

de:

http://datateca.unad.edu.co/contenidos/90178/SDS-2015I/GUIAINTEGRADADEACTIVIDADES-90178.pdf

Syllabus

del

Curso

Sistemas

Digitales

Secuenciales,

http://datateca.unad.edu.co/contenidos/90178/SDS-2015I/GUIAINTEGRADADEACTIVIDADES-90178.pdf

17

Tomado

de:

DESCRIPCIN DE LA PRCTICA
En esta sesin de prctica el grupo deber revisar y seleccionar un circuito secuencial que
permita en un display de siete segmentos la secuencia de los siguientes nmeros de forma
cclica. 0 2 4 6 8 10 12 14 16 18 20 19 - 17 - 15 13 11 9 7 5
3 - 1. Con un temporizador C555 con una frecuencia de oscilacin de dos (2) segundos para
realizar el montaje fsico y generar el respectivo video que evidencie el funcionamiento del
proyecto.
Realizar un video para el diseo implementado y su funcionamiento del circuito en fsico.
Se debe subir el video a un gestor de videos en la web como evidencia del trabajo realizado
y anexar su link correspondiente en el informe final de la actividad.
El diseo debe contener:
Tabla de estados basados en las variables a utilizar.
Mapas de Karnaugh en donde se detalle la simplificacin de las funciones del circuito.
Diagramas de bloques funcionales.
Etapa de visualizacin del contador.
Pantallazo del diagrama del circuito que se dise y se va a implementar fsicamente.

18

INTRODUCCIN
La ingeniera electrnica est compuesta por mltiples materias que son necesarias para la
formacin completa e integral, una de las ms importantes es el estudio de sistemas
digitales la cual est evolucionando constantemente, como tal es muy importante el estudio
de sus temas elementales antes de abordar temas de mayor complejidad, en este caso se
abordara el diseo de sistemas secuenciales con flip flops y lgica bsica ya vista
anteriormente.
Teniendo en cuenta que la lgica combinacional es todo sistema digital, en el que sus
salidas son funcin exclusiva del valor de sus entradas en un momento dado, sin que
intervengan en ningn caso estados anteriores de las entradas o de las salidas, el presente
trabajo est basado en el proyecto de un sistema secuencial usando display siete segmentos,
utilizando los conceptos de Flip- Flop y de lgica combinacional, para ello se debe disear
y construir utilizando dos display siete segmentos y un temporizador 555 Multivibrador
Astable. Aplicando los conocimientos previos obtenidos en materias y proyectos anteriores.
Una vez obtenidos los resultados tericos, se simula el funcionamiento del circuito en el
programa Proteus, analizando el buen funcionamiento de este, para cada uno de los bloques
que se han definido para la realizacin del proyecto. Los resultados obtenidos se presentan
mediante un video publicado en un gestor de video en lnea.
Este trabajo pretende utilizar conceptos de lgica secuencial y bsica en conjunto con la
teora de mapas de Karnaugh, diseo de mquina de estados y programacin VHDL para
abordar el diseo de un secuenciador utilizando flip flop, compuertas digitales y
generadores de seal como el circuito 555.

19

OBJETIVOS
Dar solucin al siguiente problema: Disear un circuito secuencial que permita en
un display de siete segmentos la secuencia de los siguientes nmeros de forma
cclica. 0 2 4 6 8 10 12 14 16 18 20 19 - 17 - 15 13 11 9
7 5 3 - 1. Con un temporizador C555 con una frecuencia de oscilacin de dos (2)
segundos.
Conocer y aprender a manejar las diferentes compuertas y sistemas
combinacionales, sus utilidades y aplicaciones para ser implementadas en el
presente trabajo.
Implementar la Temtica bsica de registros de Sistemas Digitales Secuenciales
para la realizacin del modelo de la visualizacin en los display siete segmentos,
usando un temporizador 555 en modo astable.
Utilizar el programa proteus, para simular el circuito analizando cada una de sus
partes y publicar los resultados obtenidos en un gestor de videos.

20

DIAGRAMAS DE ESTADO
Se emplea el componente de unidad de tiempo CI 555, Flip-Flops J-K, display de siete
segmentos, resistencias, condensadores y compuertas lgicas, se analiza el diagrama de
tiempo 555 que sigue la secuencia binaria normal, que requiere contadores binarios de cero
(0) a uno (1), por lo cual se realizar un circuito con contador. El diagrama de estado
muestra la secuencia aplicada y como avanza. De acuerdo al nmero de estados que para
por el contador que son veintiuno (21).

Tabla de estados
Estado Actual

Estado Futuro

Q4

Q3

Q2

Q1

Q0

Q4

Q3

Q2

Q1

Q0

10

12

14

16

18

20

19

17

15

13

11

21

Tabla de Verdad
Usando Flip Flop JK con las siguientes salidas:

Mapa de Karnaugh patrn

22

Tabla de Excitacin Flop Flop JK E

Tabla de Excitacin Flop Flop JK D

Tabla de Excitacin Flop Flop JK C

Tabla de Excitacin Flop Flop JK B

23

Tabla de Excitacin Flop Flop JK A

Multivibrador Astable
Este tipo de funcionamiento se caracteriza por una salida continua de forma de onda
cuadrada (o rectangular), con una frecuencia especifica. El resistor R1 est conectado a la
tensin designada como VCC y al pin de descarga (pin 7); el resistor R2 se encuentra
conectado entre el pin de descarga (pin 7), el pin de disparo (pin 2); el pin 6 y el pin 2
comparten el mismo nodo. Asimismo el condensador se carga a travs de R1 y R2, y se
descarga solo a travs de R2. La seal de salida tiene un nivel alto por un tiempo t1 y un
nivel bajo por un tiempo t2, esto debido a que el pin 7 presenta una baja impedancia a GND
durante los pulsos bajos del ciclo de trabajo.
La frecuencia de oscilacin (f) est dada por la frmula:

, con

24

Circuito 555 en modo Astable (en Proteus)


Con:

C= 100uF
T= 2 seg
T2
R 2=
0.7C
R 2=

2
=28,57 K
0.7100uF

R 1=560

CLK
CE
RST

R1
560

BAT1

5V

VCC

U1
Q
DC

3
7

CV

R2

0.01u

C1

TR

GND

28k
2

TH

D1
LED-RED

R3

555

120

C2
100uF

CIRCUITOS INTEGRADOS A IMPLEMENTAR

Compuerta AND 7408.

Compuerta NOT 7404

25

Compuerta OR 7432
Flip-Flop 74LS76
http://www.profesormolina.com.ar/electronica/componentes/int/comp_log.htm

26

27

DIAGRAMA EN PROTEUS

28

CIRCUITO EN PROTEUS

29

U2
7
1
2
6
4
5
3

CLK
CE
RST

R1
560

TH

13

12

14
1

CLK 7473
K

13

JU16:A Q

12

CLK 7473

13

JU16:B Q

7473
CLK

10

5
Q

13
12
11
10
9
15
14

U15

U20:BQ

7
1
2
6
4
5
3

CLK7473

10

QA
QB
QC
QD
QE
QF
QG

74LS47

JU20:A Q

R2
GND

TR

0.01u

14

CV

28k

C1

12

7473
CLK

LED-RED

U3:A Q

D1

14

DC

5V

BAT1

VCC

U1

A
B
C
D
BI/RBO
RBI
LT

A
B
C
D
BI/RBO
RBI
LT

QA
QB
QC
QD
QE
QF
QG

13
12
11
10
9
15
14

74LS47

R3

555

120

C2
R5

U4:A

U13:B

U6:A

U7:B

U7:A

120

100uF

U9:A

U9:B

U13:A

U12:A

74LS32

74LS32

74LS21

74LS08

4075
74LS21

4072

4075

4
5

1
2

5
12

4
3
4
5

74LS11

U10:C

U11:A

74LS11

74LS11

1
2
13

U10:B

9
10
11

1
1
2
13

1
2
13

12

11

74LS11

13

U10:A

74LS08

12

U4:D

74LS08

U4:C

7411

10

U8:A

7411

3
4
5

12

U8:B

74LS08

U4:B

JILVER CABALLERO

SIETE SEGMENTOS

1
2
8

4
5

2
3

12
13

9
10

3
4
5

74LS08

A
B
C
D
E

LINK DE VIDEO
Jose Cordoba

https://www.youtube.com/watch?v=1_nmkl23wa

CONCLUSIONES

30

En el diseo de sistemas digitales pueden existir diferentes soluciones, una buena


comprensin inicial del problema y de los elementos o circuitos electrnicos digitales nos
permiten generar soluciones, ms sencillas y eficientes.
Los mapas de Karnaugh son una herramienta muy poderosa de simplificacin, aunque su
dificultad aumenta con el nmero de variables, por lo cual es necesario tener precaucin al
momento de realizar el anlisis.
Los flip-flop tipo JK son dispositivos muy adecuados para el diseo de contadores o
generadores de secuencias.
El diseo con circuitos digitales elementales permite una mayor fluidez al realizar el diseo
en VHDL, ya que se tiene una idea exacta de que componentes debe tener el diseo y qu
funcin desempean.
VHDL es una herramienta de diseo digital que permite disear circuitos de gran capacidad
de procesamiento en cuanto a nmero de entradas, y permite ser armado con bloques de
cdigo simples y elementales, como compuertas AND, OR contadores, flip-floptipo J, D,
etc

31

BIBLIOGRAFA
Csgnetwork. Astable 555 Square Wave Calculator. Consultado el 25 de abril de
2016 de: http://www.csgnetwork.com/ne555timer2calc.html
Profesor Molina. Compuertas Lgicas. Consultado el 30 de abril de 2016 de:
http://www.profesormolina.com.ar/electronica/componentes/int/comp_log.htm

32

Potrebbero piacerti anche